SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2378
Metastability Mitigation & Error Masking of High speed Flip-Flop
Shweta Pathak 1, Satyarth Tiwari2
1MTech. Scholar Dept. VLSI Design RKDFCE Bhopal, M.P, India
2Asst.Professor VLSI Design RKDFCE Bhopal, M.P, India
---------------------------------------------------------------------***---------------------------------------------------------------------
ABSTRACT- Metastability events are commonplace in
digital circuits, and synchronizers are vital to shield us from
their lethal outcomes. Originally synchronizers had been
vital whilst playing an asynchronous enter (that is, one
synchronized with the clock enter so that might trade
exactly while the pattern). Everything changes can easily be
metastable. Switch its data enter at the equal time that the
sampling edge of the clock and also you get Metastability.
The indicators relative period of each cycle varies a little,
and subsequently main to the metastability, close enough to
every other switches. This aggregate of metastability with
regular show gadgets, arise often. Recent semiconducting
metallic oxide progress (CMOS) moreover it ends in
unprecedented ranges of integration in virtual logic
systems. Due to the propagation delay of the path and
timing clock keep time configuration errors failure occurs in
virtual circuits. The proposed flip flops take advantage of
the idea of either delayed information or pulse primarily
based method to stumble on timing errors. The timing
violations are masked by means of passing direct facts
instead of grasp latch output to slave latch. Simulation
outcomes display that the proposed turn-flops lessen the
mistake covering latency as much as 23% respectively in
normal process corners and increase the powerful timing
error monitoring window compared to country of the
artwork metastable immune turn-flops [14]. The proposed
flip-flops can be utilized in dynamic voltage and frequency
Key Words: Metastability, SAFF, CMOS, flip-flop,
synchronizing, PDFF, latch
1. INTRODUCTION
The motive of enforcing the setup and hold time situations
on combinational paths is to constrain the entire of every
turn-flop: to make sure that it's miles held solid for at the
least (tsu) seconds before the clock part and that it
remains strong for no much less than (th) seconds
afterwards. By doing so, flip-flop outputs are guaranteed
to behave in a predetermined manner: they transition to
the logic stage of the input monotonically, with a nominal
transition time and within a nominal clock-to-q postpone.
These homes are vital for the design of deterministic
synchronous structures.
2. PROPOSED WORK
In this paintings, we analyze the metastability of the flip-
flops in each qualitative and quantitative manners.
Because turn-flops may additionally have unsymmetrical
0-1 and 1-zero output delays, we are able to use faster or
slower propagation delay to pick out the exclusive output
transitions. For example the zero-1 output postpone of
PDFF and 1-zero output postpone of SAFF will both be
called the faster propagation postpone.
In part-brought about flip-flops, enter facts is
captured with the aid of an intermediate essential node in
the grasp level earlier than it's far propagated to the
output thru the slave stage. When the turn-flop is running
close to the metastable area, the rivalry at that node can
also propagate to the output and cause metastability. For
qualitative analysis, we cognizance on figuring out and
studying the important node in each flip-flop structure
that reasons contention and results in metastability.
3. DESCRIPTION OF TEST CIRCUIT
The possibility of a latch to go into in a metastable nation
is that when the most input signal ends with violates of
installation time and keep time necessities. This is the case
while the country of the D input of a flip-flop adjustments
at every clock facet. Any other relationship among the
frequency of the sign on the D enter and the clock
frequency will reduce the probability of the latch that's to
be examined enter a metastable state. The worst case is
when the frequency (fin) on the D input is, precisely 1/2
the clock frequency (fclk) (7).
Fig.1 Test Circuit Structure for Metastability
Characterization
4. FUNCTIONAL SIMULATION
Microwind helps all the front-end to lower back-
stop design go with the flow. For the frontend
layout, we DSCH (digital schematic editor) who
personal in-constructed ground simulator
primarily based on virtual circuit. Users can also
construct analog circuit and convert them into
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2379
documents and use third party simulators like
Microwind or pspiceSPICE. DSCH can convert
virtual circuit in the Verilog file can nevertheless
by means of synthesized for FPGA/CPLD gadgets
from any supplier. The identical Verilog record
can be compiled for the conversion of setting
Microwind. CMOS provisions may be verified the
use of included blend sign simulator and in
addition analysis for the DRC, delays, section 2D,
3D view, etc.
5. SIMULATION OF THE D-FLIP FLOP
Since our instance is a D flip-flop in uses factors of
fantastic facet touchy garage, the Q output follows the D
enter whilst the clock transitions from low to high, as
indicated with the aid of the upward arrows inside the
diagram above (7). There is not any doubt that the good
judgment level is gift at the time of the clock due to the fact
the date is stable earlier than and after the clock part. This
is rarely the case in the shift registers with numerous
flooring. But it became a easy example first of all. We are
only involved approximately the tremendous, of low to
high clock part. Negative facet can be not noted. It is very
smooth to peer Q follow D at the clock above. Compare
this to the Fig. Under For quantitative evaluation, the τ and
the calculated metastability window (δ) values of the turn-
flops are as compared in keeping with their faster and
slower output propagation delays.
Figure 2 CMOS design basic d flip-flop
Figure 3 basic flip-flop voltage vs time
Figure 4 CMOS design metastabality of basic flip-flop
Figure 5 metastabality of basic flip-flop voltage vs time
Figure 6 CMOS design metastabality of proposed flip-flop
voltage
Figure 7 metastabality of proposed flip-flop voltage vs
time
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2380
The paintings which has been proven in the Fig.6 suggests
the metastable errors 10 compared with the paintings
achieved within the preceding given paintings is tons
more better performance as it offers us the mistake is 10
but formerly it changed into eleven to forty nine. There is
lot of distinction between the electricity dissipation and
switching delay.
The energy dissipation affects the circuit overall
performance degradation which represents the
Metastability delay. With the usage of transmission gate
that reduces the stray capacitances and number of
transistor requires designing the flip-flop circuit. The
reduction in stray capacitances improves the postpone
and strength dissipation in circuit. The performance of
metastability robustness in 45 nm turn-turn is tested and
a metastability occasions testing circuit is designed. Using
faster the flip-flops decreases the setup and maintain
instances of the turn-flop, which in flip decreases the time
window that the flip-flop is prone to metastability while
the input frequency is decreases, the probabilities of the
input converting during the setup and keep time
additionally decreases. Our circuit count metastable
occasion and tolerate metastability is upload one or extra
successive synchronizing flipflop to synchronize
6. CONCLUSION
The strength dissipation impacts the circuit performance
degradation which represents the Metastability put off.
With the usage of transmission gate that reduces the stray
capacitances and quantity of transistor requires designing
the turn-flop circuit. The reduction in stray capacitances
improves the put off and strength dissipation in circuit.
The overall performance of metastability robustness in 90
nm flip-flip is tested and a metastability activities checking
out circuit is designed. Using faster the flip-flops decreases
the setup and maintain times of the turn-flop, which in
turn decreases the time window that the turn-flop is
susceptible to metastability whilst the input frequency is
decreases, the chances of the input changing at some stage
in the setup and preserve time also decreases. Our circuit
remember metastable event and tolerate metastability is
upload one or greater successive synchronizing flipflop to
synchronize.
An efficient approach to lessen the worst case timing
shield bands using an errors masking flip-flop is
mentioned. In this technique, an mistakes sign is flagged
via errors covering turn-flop in case of timing violations.
Clock gating controller makes use of this mistake sign to
shift the fantastic fringe of the clock with the aid of one
cycle to recover from timing violations. The proposed flip-
flop is proof against statistics route metastability and does
no longer need a metastable detector. Exhaustive
simulations were performed to validate the proposed
timing error protecting scheme in both turn-flop and block
ranges. The proposed flip-flop reduce the mistake
overlaying latency via 16% in comparison to standard
approach to be had in literature.
7. FUTURE SCOPE
There are several approaches to extend our paintings to
accommodate emerging issues in circuit reliability. First,
we advise to keep tackling the imperative trouble of
enhancing the scalability of precise reliability
computations, the usage of side-valued decision diagrams.
Next, we endorse to enhance the SER of sequential circuits
by way of taking benefit of the elevated resynthesis
possibilities to be had
8. REFERENCES
[1] D. Ernst, N.S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C.
Ziesler, D. Blaauw, T.
Austin, K. Flautner, T. Mudge, B. Ave, A. Arbor, Razor: a low-
power pipeline based on circuit-level timing speculation, in:
Proceedings of International Symposium on Microarchitecture,
2003, pp. 7–18.
[2] S. Das, D. Roberts, S. Lee, S. Pant, D. Blaauw, T. Austin, T.
Mudge, K. Flautner, A self-tuning DVS processor using delay-
error detection and correction, IEEE J.
Solid-State Circuits 41 (4) (2006) 792–804.
[3] S. Das, C. Tokunaga, S. Pant, W. Ma, S. Kalaiselvan, K. Lai,
D.M. Bull, D.T. Blaauw, RazorII: in situ error detection and
correction for PVT and SER tolerance, IEEE J.
Solid-State Circuits 44 (1) (2009) 32–48.
[4] K.A. Bowman, J.W. Tschanz, S.L.L. Lu, P.A. Aseron, M.M.
Khellah,
A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T.
Karnik, V. K.
De, A 45 nm resilient microprocessor core for dynamic variation
tolerance, IEEE J. Solid-State Circuits 46 (1) (2011) 194–208.
[5] I. Kwon, S. Kim, D. Fick, M. Kim, Y. Chen, D. Sylvester,
Razor-lite: a light-weight register for error detection by
observing virtual supply rails, IEEE J. Solid-State Circuits 49 (9)
(2014) 2054–2066.
[6] M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D.M. Harris, D.
Blaauw, D. Sylvester, Bubble Razor: eliminating timing margins
in an ARM cortex-M3 Processor in 45 nm CMOS using
architecturally independent error detection and correction, IEEE
J. Solid-State Circuits 48 (1) (2013) 66–81.
[7] I. Shin, J. Kim, Y. Shin, Aggressive voltage scaling
through fast correction of multiple errors with seamless pipeline
operation, IEEE Trans. Circuits Syst. I Regul. Pap. 62 (2) (2015)
468–477.
[8] H. Fuketa, M. Hashimoto, Y. Mitsuyama, T. Onoye,
Adaptive performance compensation with in-situ timing error
parameter Power
dissipation
Clock
frequency
Number
of
transistor
Metastable
error
proposed 2.577 uW 1.7Ghz 22 10
G.Sannena
et al
372.4 uW 500 Mhz 50 11
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2381
predictive sensors for subthreshold circuits, IEEE Trans. Very
Large Scale Integr. Syst. 20 (2) (2012) 333–343.
[9] B.P. Das, H. Onodera, Frequency independent warning
detection sequential for dynamic voltage and frequency scaling
in ASICs, IEEE Trans. Very Large Scale Integr. Syst. 22 (12)
(2014) 2535–2548.
[10] V. Huard, F. Cacho, F. Giner, M. Saliva, A. Benhassain, D.
Patel, N. Torres, S. Naudet, A. Jain, C. Parthasarathy, Adaptive
wearout management with in-situ aging monitors, in:
Proceedings of IEEE International Reliability Physics Symposium,
2014, pp. 1–11.
[11] Martin Omana, Daniele Rossi, Nicolo Bosio, Cecilia
Metra, Low cost NBTI degradation detection and masking
approaches, IEEE Trans. Comput. 62 (3) (2013) 496–509.
[12] B.P. Das, H. Onodera, Warning prediction sequential for
transient error prevention, in: Proceedings of IEEE International
Symposium DFT VLSI System, 2010, pp. 382–390.
[13] Govinda Sannena, B.P. Das, Area and Power-Efficient
Timing Error Predictor for Dynamic Voltage and Frequency
Scaling Application. in: Proceedings of IEEE International
Symposium on Nanoelectronic and Information Systems, 2016,
pp. 244–249.
[14] K. Chae, S. Mukhopadhyay, A dynamic timing error
prevention technique in pipelines with time borrowing and clock
stretching, IEEE Trans. Circuits Syst. I Regul. Pap. 61 (1) (2014)
74–83.
[15] K.Chae, Chang-Ho Lee, S. Mukhopadhyay, Timing error
prevention using elastic clocking, in: Proceedings of IC Design
and Technology, 2011, pp. 1–4.
[16] K. Chae, S. Mukhopadhyay, Resilient pipeline under
supply noise with programmable time borrowing and delayed
clock gating, IEEE Trans. Circuits Syst. II Express Briefs 61 (3)
(2014) 173–177.

More Related Content

What's hot

Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
QoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyQoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyRealTime-at-Work (RTaW)
 
Certificates for bist including index
Certificates for bist including indexCertificates for bist including index
Certificates for bist including indexPrabhu Kiran
 
Low power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpeLow power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpeHoopeer Hoopeer
 
Practical Use Cases for Ethernet Redundancy
Practical Use Cases for Ethernet RedundancyPractical Use Cases for Ethernet Redundancy
Practical Use Cases for Ethernet RedundancyRealTime-at-Work (RTaW)
 
Eliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory TestingEliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory Testingteledynelecroy
 
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...Fausto Gill Di Vincenzo
 
REPORT -VALLUR THERMAL POWER PLANT
REPORT -VALLUR THERMAL POWER PLANTREPORT -VALLUR THERMAL POWER PLANT
REPORT -VALLUR THERMAL POWER PLANTRAJPRASAD RS
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCUIRJET Journal
 
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...Pushing the limits of CAN - Scheduling frames with offsets provides a major p...
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...Nicolas Navet
 
Synchronizing Relay
Synchronizing RelaySynchronizing Relay
Synchronizing RelayRAJPRASAD RS
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip ValidationSMIT A. PATEL
 
Webinar: Practical DDR Testing for Compliance, Validation and Debug
Webinar: Practical DDR Testing for Compliance, Validation and DebugWebinar: Practical DDR Testing for Compliance, Validation and Debug
Webinar: Practical DDR Testing for Compliance, Validation and Debugteledynelecroy
 
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...Raj Mitra
 
How to analyse sdcch drop due to
How to analyse sdcch drop due toHow to analyse sdcch drop due to
How to analyse sdcch drop due toIshanu Chakrabarty
 
An_FPGA_Based_Passive_K_Delta_1_Sigma_Modulator
An_FPGA_Based_Passive_K_Delta_1_Sigma_ModulatorAn_FPGA_Based_Passive_K_Delta_1_Sigma_Modulator
An_FPGA_Based_Passive_K_Delta_1_Sigma_ModulatorMatthew Albert Meza
 

What's hot (20)

Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Recognizing Sales Opportunities in Protective Relaying
Recognizing Sales Opportunities in Protective RelayingRecognizing Sales Opportunities in Protective Relaying
Recognizing Sales Opportunities in Protective Relaying
 
QoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyQoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-Study
 
Certificates for bist including index
Certificates for bist including indexCertificates for bist including index
Certificates for bist including index
 
Hdmi 1.3c Vs 1.3b
Hdmi 1.3c Vs 1.3bHdmi 1.3c Vs 1.3b
Hdmi 1.3c Vs 1.3b
 
Low power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpeLow power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpe
 
Practical Use Cases for Ethernet Redundancy
Practical Use Cases for Ethernet RedundancyPractical Use Cases for Ethernet Redundancy
Practical Use Cases for Ethernet Redundancy
 
Eliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory TestingEliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory Testing
 
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...
Nonlinear Aeroelastic Steady Simulation Applied to Highly Flexible Blades for...
 
Test Requirements for Microprocessor Relays
Test Requirements for Microprocessor RelaysTest Requirements for Microprocessor Relays
Test Requirements for Microprocessor Relays
 
REPORT -VALLUR THERMAL POWER PLANT
REPORT -VALLUR THERMAL POWER PLANTREPORT -VALLUR THERMAL POWER PLANT
REPORT -VALLUR THERMAL POWER PLANT
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...Pushing the limits of CAN - Scheduling frames with offsets provides a major p...
Pushing the limits of CAN - Scheduling frames with offsets provides a major p...
 
Synchronizing Relay
Synchronizing RelaySynchronizing Relay
Synchronizing Relay
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip Validation
 
Webinar: Practical DDR Testing for Compliance, Validation and Debug
Webinar: Practical DDR Testing for Compliance, Validation and DebugWebinar: Practical DDR Testing for Compliance, Validation and Debug
Webinar: Practical DDR Testing for Compliance, Validation and Debug
 
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...Mixed Signal Verification of a Voltage Regulator using a State Space approach...
Mixed Signal Verification of a Voltage Regulator using a State Space approach...
 
How to analyse sdcch drop due to
How to analyse sdcch drop due toHow to analyse sdcch drop due to
How to analyse sdcch drop due to
 
SCFT report
SCFT reportSCFT report
SCFT report
 
An_FPGA_Based_Passive_K_Delta_1_Sigma_Modulator
An_FPGA_Based_Passive_K_Delta_1_Sigma_ModulatorAn_FPGA_Based_Passive_K_Delta_1_Sigma_Modulator
An_FPGA_Based_Passive_K_Delta_1_Sigma_Modulator
 

Similar to IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop

IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...
IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...
IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...IRJET Journal
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET Journal
 
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...VLSICS Design
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewSudhanshu Janwadkar
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET Journal
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...theijes
 
A04310104
A04310104A04310104
A04310104theijes
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeIRJET Journal
 
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET Journal
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyIJEEE
 
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...IRJET Journal
 
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...IRJET Journal
 
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIERAGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIEREditor IJMTER
 
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...IRJET Journal
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...IRJET Journal
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESIRJET Journal
 

Similar to IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop (20)

IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...
IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...
IRJET- High Speed Multi-Rate Approach based Adaptive Filter using Multiplier-...
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
 
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
FPGA-based implementation of sensorless AC drive controllers for embedded Ele...
 
A04310104
A04310104A04310104
A04310104
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
 
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS Technology
 
H33038041
H33038041H33038041
H33038041
 
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...
IRJET- Efficient Multiplier Design using Adaptive Hold Logic with Montgomery ...
 
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...
IRJET- Adaptive Observer-Based Fault Estimation for DFIG based Wind Turbine S...
 
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIERAGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
 
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 

Recently uploaded (20)

High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 

IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2378 Metastability Mitigation & Error Masking of High speed Flip-Flop Shweta Pathak 1, Satyarth Tiwari2 1MTech. Scholar Dept. VLSI Design RKDFCE Bhopal, M.P, India 2Asst.Professor VLSI Design RKDFCE Bhopal, M.P, India ---------------------------------------------------------------------***--------------------------------------------------------------------- ABSTRACT- Metastability events are commonplace in digital circuits, and synchronizers are vital to shield us from their lethal outcomes. Originally synchronizers had been vital whilst playing an asynchronous enter (that is, one synchronized with the clock enter so that might trade exactly while the pattern). Everything changes can easily be metastable. Switch its data enter at the equal time that the sampling edge of the clock and also you get Metastability. The indicators relative period of each cycle varies a little, and subsequently main to the metastability, close enough to every other switches. This aggregate of metastability with regular show gadgets, arise often. Recent semiconducting metallic oxide progress (CMOS) moreover it ends in unprecedented ranges of integration in virtual logic systems. Due to the propagation delay of the path and timing clock keep time configuration errors failure occurs in virtual circuits. The proposed flip flops take advantage of the idea of either delayed information or pulse primarily based method to stumble on timing errors. The timing violations are masked by means of passing direct facts instead of grasp latch output to slave latch. Simulation outcomes display that the proposed turn-flops lessen the mistake covering latency as much as 23% respectively in normal process corners and increase the powerful timing error monitoring window compared to country of the artwork metastable immune turn-flops [14]. The proposed flip-flops can be utilized in dynamic voltage and frequency Key Words: Metastability, SAFF, CMOS, flip-flop, synchronizing, PDFF, latch 1. INTRODUCTION The motive of enforcing the setup and hold time situations on combinational paths is to constrain the entire of every turn-flop: to make sure that it's miles held solid for at the least (tsu) seconds before the clock part and that it remains strong for no much less than (th) seconds afterwards. By doing so, flip-flop outputs are guaranteed to behave in a predetermined manner: they transition to the logic stage of the input monotonically, with a nominal transition time and within a nominal clock-to-q postpone. These homes are vital for the design of deterministic synchronous structures. 2. PROPOSED WORK In this paintings, we analyze the metastability of the flip- flops in each qualitative and quantitative manners. Because turn-flops may additionally have unsymmetrical 0-1 and 1-zero output delays, we are able to use faster or slower propagation delay to pick out the exclusive output transitions. For example the zero-1 output postpone of PDFF and 1-zero output postpone of SAFF will both be called the faster propagation postpone. In part-brought about flip-flops, enter facts is captured with the aid of an intermediate essential node in the grasp level earlier than it's far propagated to the output thru the slave stage. When the turn-flop is running close to the metastable area, the rivalry at that node can also propagate to the output and cause metastability. For qualitative analysis, we cognizance on figuring out and studying the important node in each flip-flop structure that reasons contention and results in metastability. 3. DESCRIPTION OF TEST CIRCUIT The possibility of a latch to go into in a metastable nation is that when the most input signal ends with violates of installation time and keep time necessities. This is the case while the country of the D input of a flip-flop adjustments at every clock facet. Any other relationship among the frequency of the sign on the D enter and the clock frequency will reduce the probability of the latch that's to be examined enter a metastable state. The worst case is when the frequency (fin) on the D input is, precisely 1/2 the clock frequency (fclk) (7). Fig.1 Test Circuit Structure for Metastability Characterization 4. FUNCTIONAL SIMULATION Microwind helps all the front-end to lower back- stop design go with the flow. For the frontend layout, we DSCH (digital schematic editor) who personal in-constructed ground simulator primarily based on virtual circuit. Users can also construct analog circuit and convert them into
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2379 documents and use third party simulators like Microwind or pspiceSPICE. DSCH can convert virtual circuit in the Verilog file can nevertheless by means of synthesized for FPGA/CPLD gadgets from any supplier. The identical Verilog record can be compiled for the conversion of setting Microwind. CMOS provisions may be verified the use of included blend sign simulator and in addition analysis for the DRC, delays, section 2D, 3D view, etc. 5. SIMULATION OF THE D-FLIP FLOP Since our instance is a D flip-flop in uses factors of fantastic facet touchy garage, the Q output follows the D enter whilst the clock transitions from low to high, as indicated with the aid of the upward arrows inside the diagram above (7). There is not any doubt that the good judgment level is gift at the time of the clock due to the fact the date is stable earlier than and after the clock part. This is rarely the case in the shift registers with numerous flooring. But it became a easy example first of all. We are only involved approximately the tremendous, of low to high clock part. Negative facet can be not noted. It is very smooth to peer Q follow D at the clock above. Compare this to the Fig. Under For quantitative evaluation, the τ and the calculated metastability window (δ) values of the turn- flops are as compared in keeping with their faster and slower output propagation delays. Figure 2 CMOS design basic d flip-flop Figure 3 basic flip-flop voltage vs time Figure 4 CMOS design metastabality of basic flip-flop Figure 5 metastabality of basic flip-flop voltage vs time Figure 6 CMOS design metastabality of proposed flip-flop voltage Figure 7 metastabality of proposed flip-flop voltage vs time
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2380 The paintings which has been proven in the Fig.6 suggests the metastable errors 10 compared with the paintings achieved within the preceding given paintings is tons more better performance as it offers us the mistake is 10 but formerly it changed into eleven to forty nine. There is lot of distinction between the electricity dissipation and switching delay. The energy dissipation affects the circuit overall performance degradation which represents the Metastability delay. With the usage of transmission gate that reduces the stray capacitances and number of transistor requires designing the flip-flop circuit. The reduction in stray capacitances improves the postpone and strength dissipation in circuit. The performance of metastability robustness in 45 nm turn-turn is tested and a metastability occasions testing circuit is designed. Using faster the flip-flops decreases the setup and maintain instances of the turn-flop, which in flip decreases the time window that the flip-flop is prone to metastability while the input frequency is decreases, the probabilities of the input converting during the setup and keep time additionally decreases. Our circuit count metastable occasion and tolerate metastability is upload one or extra successive synchronizing flipflop to synchronize 6. CONCLUSION The strength dissipation impacts the circuit performance degradation which represents the Metastability put off. With the usage of transmission gate that reduces the stray capacitances and quantity of transistor requires designing the turn-flop circuit. The reduction in stray capacitances improves the put off and strength dissipation in circuit. The overall performance of metastability robustness in 90 nm flip-flip is tested and a metastability activities checking out circuit is designed. Using faster the flip-flops decreases the setup and maintain times of the turn-flop, which in turn decreases the time window that the turn-flop is susceptible to metastability whilst the input frequency is decreases, the chances of the input changing at some stage in the setup and preserve time also decreases. Our circuit remember metastable event and tolerate metastability is upload one or greater successive synchronizing flipflop to synchronize. An efficient approach to lessen the worst case timing shield bands using an errors masking flip-flop is mentioned. In this technique, an mistakes sign is flagged via errors covering turn-flop in case of timing violations. Clock gating controller makes use of this mistake sign to shift the fantastic fringe of the clock with the aid of one cycle to recover from timing violations. The proposed flip- flop is proof against statistics route metastability and does no longer need a metastable detector. Exhaustive simulations were performed to validate the proposed timing error protecting scheme in both turn-flop and block ranges. The proposed flip-flop reduce the mistake overlaying latency via 16% in comparison to standard approach to be had in literature. 7. FUTURE SCOPE There are several approaches to extend our paintings to accommodate emerging issues in circuit reliability. First, we advise to keep tackling the imperative trouble of enhancing the scalability of precise reliability computations, the usage of side-valued decision diagrams. Next, we endorse to enhance the SER of sequential circuits by way of taking benefit of the elevated resynthesis possibilities to be had 8. REFERENCES [1] D. Ernst, N.S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, B. Ave, A. Arbor, Razor: a low- power pipeline based on circuit-level timing speculation, in: Proceedings of International Symposium on Microarchitecture, 2003, pp. 7–18. [2] S. Das, D. Roberts, S. Lee, S. Pant, D. Blaauw, T. Austin, T. Mudge, K. Flautner, A self-tuning DVS processor using delay- error detection and correction, IEEE J. Solid-State Circuits 41 (4) (2006) 792–804. [3] S. Das, C. Tokunaga, S. Pant, W. Ma, S. Kalaiselvan, K. Lai, D.M. Bull, D.T. Blaauw, RazorII: in situ error detection and correction for PVT and SER tolerance, IEEE J. Solid-State Circuits 44 (1) (2009) 32–48. [4] K.A. Bowman, J.W. Tschanz, S.L.L. Lu, P.A. Aseron, M.M. Khellah, A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T. Karnik, V. K. De, A 45 nm resilient microprocessor core for dynamic variation tolerance, IEEE J. Solid-State Circuits 46 (1) (2011) 194–208. [5] I. Kwon, S. Kim, D. Fick, M. Kim, Y. Chen, D. Sylvester, Razor-lite: a light-weight register for error detection by observing virtual supply rails, IEEE J. Solid-State Circuits 49 (9) (2014) 2054–2066. [6] M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D.M. Harris, D. Blaauw, D. Sylvester, Bubble Razor: eliminating timing margins in an ARM cortex-M3 Processor in 45 nm CMOS using architecturally independent error detection and correction, IEEE J. Solid-State Circuits 48 (1) (2013) 66–81. [7] I. Shin, J. Kim, Y. Shin, Aggressive voltage scaling through fast correction of multiple errors with seamless pipeline operation, IEEE Trans. Circuits Syst. I Regul. Pap. 62 (2) (2015) 468–477. [8] H. Fuketa, M. Hashimoto, Y. Mitsuyama, T. Onoye, Adaptive performance compensation with in-situ timing error parameter Power dissipation Clock frequency Number of transistor Metastable error proposed 2.577 uW 1.7Ghz 22 10 G.Sannena et al 372.4 uW 500 Mhz 50 11
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 06 | June 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2381 predictive sensors for subthreshold circuits, IEEE Trans. Very Large Scale Integr. Syst. 20 (2) (2012) 333–343. [9] B.P. Das, H. Onodera, Frequency independent warning detection sequential for dynamic voltage and frequency scaling in ASICs, IEEE Trans. Very Large Scale Integr. Syst. 22 (12) (2014) 2535–2548. [10] V. Huard, F. Cacho, F. Giner, M. Saliva, A. Benhassain, D. Patel, N. Torres, S. Naudet, A. Jain, C. Parthasarathy, Adaptive wearout management with in-situ aging monitors, in: Proceedings of IEEE International Reliability Physics Symposium, 2014, pp. 1–11. [11] Martin Omana, Daniele Rossi, Nicolo Bosio, Cecilia Metra, Low cost NBTI degradation detection and masking approaches, IEEE Trans. Comput. 62 (3) (2013) 496–509. [12] B.P. Das, H. Onodera, Warning prediction sequential for transient error prevention, in: Proceedings of IEEE International Symposium DFT VLSI System, 2010, pp. 382–390. [13] Govinda Sannena, B.P. Das, Area and Power-Efficient Timing Error Predictor for Dynamic Voltage and Frequency Scaling Application. in: Proceedings of IEEE International Symposium on Nanoelectronic and Information Systems, 2016, pp. 244–249. [14] K. Chae, S. Mukhopadhyay, A dynamic timing error prevention technique in pipelines with time borrowing and clock stretching, IEEE Trans. Circuits Syst. I Regul. Pap. 61 (1) (2014) 74–83. [15] K.Chae, Chang-Ho Lee, S. Mukhopadhyay, Timing error prevention using elastic clocking, in: Proceedings of IC Design and Technology, 2011, pp. 1–4. [16] K. Chae, S. Mukhopadhyay, Resilient pipeline under supply noise with programmable time borrowing and delayed clock gating, IEEE Trans. Circuits Syst. II Express Briefs 61 (3) (2014) 173–177.