This document provides an overview of memory basics including RAM, ROM, volatility, SRAM, and DRAM. Key points include:
- RAM is volatile memory that can be read from and written to, while ROM is non-volatile but cannot be written to online.
- SRAM is static RAM that holds data as long as power is applied, using a basic 6 transistor cell. It is faster but more expensive than DRAM.
- DRAM is dynamic RAM that must be periodically refreshed to maintain data in its single transistor cells since charge leaks over time. It has lower cost per bit but requires refresh circuitry.
In the project#1, IBM 130nm process is used to design and manual layout a 128 word SRAM, with word size 10bits. Cadence's Virtuoso is applied for layout editing, DRC and LVS running and circuit simulation.
• Developed standard library cells using IBM 130nm technology in Cadence Virtuoso Layout editor for inverter, nand2, nor2, xnor2, mux2:1, oai2221, aoi22, oai121 and a master-slave negative edge triggered D-flip-flop with minimum area and diffusion breaks. Constructed the schematic, performed DRC-LVS closure of layout and generated a SPICE netlist with Calibre PEX extraction of all the standard cells.
• Simulated the netlists by HSPICE, verified the correctness of its functionality and also made timing analysis of D-flip-flop setup and hold times. Generated a new Synopsys cell library using SiliconSmart ACE and a new Cadence cell library from all the standard cells.
The physical design flow begins with placement which involves assigning exact locations to modules like gates and standard cells to minimize area and interconnect cost while meeting timing constraints, with the goal of enabling easier routing; placement tools take as input the netlist, floorplan, libraries, and constraints to perform global and detailed placement as well as optimization. The quality of placement significantly impacts the ability to route the design successfully.
This document discusses digital VLSI design flows. It begins by acknowledging previous work that informed the presentation. It then discusses considerations in developing an electronic system, including components of the system. It describes integrated circuits based on application, fabrication technology, device, and device count. It discusses using standard or application-specific integrated circuits. It outlines a top-down design approach and terminology used in the design flow.
The document discusses FPGA architecture and programming technologies. It provides an overview of FPGA components like logic blocks and interconnect frameworks. It compares SRAM, anti-fuse, EPROM and EEPROM programming technologies in FPGAs and how each is configured and reprogrammed. Commercially available FPGAs from Xilinx and CPLDs from Altera are described as examples.
The PIC 16F877A microcontroller uses a Harvard architecture with separate program and data buses. It has 8kB of flash memory, 368 bytes of RAM, and 256 bytes of EEPROM. It features five I/O ports, three timers, USART serial communication, and 15 interrupt sources. Instructions are in RISC format and execute in 4 machine cycles, with most instructions completing in one cycle.
1. Programmable Logic Arrays (PLAs) are pre-fabricated logic blocks containing AND and OR gates that can be personalized by making or breaking connections between gates. This allows them to implement general purpose logic functions.
2. An example shows a 3x2 PLA implementing 4 logic functions through a personality matrix that defines which inputs are connected to each product term and which product terms are connected to each output.
3. PALs (Programmable Array Logic) are similar to PLAs but contain a fixed OR array, limiting them to functions with at most 4 products.
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...Saikiran Panjala
This document describes the design and simulation of different 8-bit multipliers using Verilog code. It summarizes four multipliers: array, Wallace tree, Baugh-Wooley, and Vedic. It finds that the Baugh-Wooley multiplier has advantages in speed, delay, area, complexity, and power consumption compared to the other multipliers. The document also discusses half adders, full adders, ripple carry adders, carry save adders, and multiplication algorithms. It aims to compare the multipliers based on area, speed, and delay.
In the project#1, IBM 130nm process is used to design and manual layout a 128 word SRAM, with word size 10bits. Cadence's Virtuoso is applied for layout editing, DRC and LVS running and circuit simulation.
• Developed standard library cells using IBM 130nm technology in Cadence Virtuoso Layout editor for inverter, nand2, nor2, xnor2, mux2:1, oai2221, aoi22, oai121 and a master-slave negative edge triggered D-flip-flop with minimum area and diffusion breaks. Constructed the schematic, performed DRC-LVS closure of layout and generated a SPICE netlist with Calibre PEX extraction of all the standard cells.
• Simulated the netlists by HSPICE, verified the correctness of its functionality and also made timing analysis of D-flip-flop setup and hold times. Generated a new Synopsys cell library using SiliconSmart ACE and a new Cadence cell library from all the standard cells.
The physical design flow begins with placement which involves assigning exact locations to modules like gates and standard cells to minimize area and interconnect cost while meeting timing constraints, with the goal of enabling easier routing; placement tools take as input the netlist, floorplan, libraries, and constraints to perform global and detailed placement as well as optimization. The quality of placement significantly impacts the ability to route the design successfully.
This document discusses digital VLSI design flows. It begins by acknowledging previous work that informed the presentation. It then discusses considerations in developing an electronic system, including components of the system. It describes integrated circuits based on application, fabrication technology, device, and device count. It discusses using standard or application-specific integrated circuits. It outlines a top-down design approach and terminology used in the design flow.
The document discusses FPGA architecture and programming technologies. It provides an overview of FPGA components like logic blocks and interconnect frameworks. It compares SRAM, anti-fuse, EPROM and EEPROM programming technologies in FPGAs and how each is configured and reprogrammed. Commercially available FPGAs from Xilinx and CPLDs from Altera are described as examples.
The PIC 16F877A microcontroller uses a Harvard architecture with separate program and data buses. It has 8kB of flash memory, 368 bytes of RAM, and 256 bytes of EEPROM. It features five I/O ports, three timers, USART serial communication, and 15 interrupt sources. Instructions are in RISC format and execute in 4 machine cycles, with most instructions completing in one cycle.
1. Programmable Logic Arrays (PLAs) are pre-fabricated logic blocks containing AND and OR gates that can be personalized by making or breaking connections between gates. This allows them to implement general purpose logic functions.
2. An example shows a 3x2 PLA implementing 4 logic functions through a personality matrix that defines which inputs are connected to each product term and which product terms are connected to each output.
3. PALs (Programmable Array Logic) are similar to PLAs but contain a fixed OR array, limiting them to functions with at most 4 products.
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...Saikiran Panjala
This document describes the design and simulation of different 8-bit multipliers using Verilog code. It summarizes four multipliers: array, Wallace tree, Baugh-Wooley, and Vedic. It finds that the Baugh-Wooley multiplier has advantages in speed, delay, area, complexity, and power consumption compared to the other multipliers. The document also discusses half adders, full adders, ripple carry adders, carry save adders, and multiplication algorithms. It aims to compare the multipliers based on area, speed, and delay.
This document discusses field programmable gate arrays (FPGAs). It begins by describing FPGA basics and architecture, including configurable logic blocks (CLBs), I/O blocks, and switch matrices. It then discusses FPGA advantages such as low cost, fast prototyping, and reusability. The document also covers FPGA process technologies including SRAM, antifuse, and EPROM/EEPROM/Flash. It provides details on FPGA architectures, logic elements, routing, memory blocks, and examples of Xilinx FPGAs.
This document discusses nonlinear effects in RF transceiver module design. It begins by outlining the causes of nonlinear distortion, including internal and external interference effects. It then analyzes specific nonlinear effects like 1-dB compression point, second-order intercept point, and third-order intercept point. The document examines these effects for both single-tone and two-tone input signals. Nonlinear characteristics are evaluated using concepts like intercept points and two-tone intermodulation distortions. Linear and nonlinear amplifier classes are also introduced.
The document discusses the ATmega32 microcontroller. It begins by defining a microcontroller as a small computer containing a processor, memory, and programmable input/output pins. It then lists some key features of the ATmega32 microcontroller, which include 32 I/O pins, 32KB of flash memory, 1024 bytes of EEPROM, and the ability to handle 3 external interrupts. The document also briefly covers the Von Neumann and Harvard architectures and how the ATmega32 is programmed using languages like Assembly, C, and C++ through the AVR studio software.
Fan in and Fan out related to vlsi design basic circuit concepts. This will be used for IC design process. By using such key methods, the performance of the circuit in IC will be improved in a better manner.
This document describes the design of a CMOS-based ring oscillator. A ring oscillator uses an odd number of inverter stages connected in a loop to produce oscillations. It has advantages over LC oscillators like small size and wide oscillation range. Ring oscillators are used in circuits like PLLs and clock recovery that require precise timing measurements. The document explains the design of 3-stage and 9-stage ring oscillators and discusses factors like gate delay, jitter, and phase noise that affect their performance.
This document provides an overview of VLSI technology trends over time. It discusses how Moore's Law has been sustained through transistor scaling down to the nanometer level enabled by various techniques like strained silicon, high-k dielectrics, metal gates, SOI, multi-gate transistors like FinFETs. It outlines the evolution from bipolar junction transistors to MOSFETs to integrated circuits. Short channel effects posed challenges to scaling which were addressed through new device architectures in the second generation of scaling.
This document discusses physical design verification checks that are performed on an integrated circuit layout. It describes design rule checking (DRC) which checks that a layout adheres to foundry design rules for manufacturability. Layout versus schematic (LVS) checks that the layout connectivity matches the schematic netlist. Electrical rule checking (ERC) identifies electrical issues like floating devices or short circuits. The document provides examples of DRC, LVS, and ERC checks and typical issues found during these verification steps.
UNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONSDr.YNM
Dr. Y.Narasimha Murthy Ph.D introduces programmable logic devices and their evolution from PLDs to CPLDs and FPGAs. The document discusses the basic architecture and applications of ROM, RAM, PLDs including PLA, PAL and GAL. It provides details on the programmable AND and OR planes in a PLA and compares device types based on their AND and OR array programmability. SPLDs, CPLDs and FPGAs are the main types of PLDs discussed.
This document describes an experiment on code conversion in Verilog. It includes the conversion of binary to gray code, gray to binary code, and binary coded decimal (BCD) to excess-3 code. Verilog code modules are provided for each conversion along with output waveforms. The experiment verified the results and modeled the conversions at both the gate level and behavioral level. The learning outcome was understanding code conversion and how to derive logic expressions from Karnaugh maps.
I have prepared it to create an understanding of delay modeling in VLSI.
Regards,
Vishal Sharma
Doctoral Research Scholar,
IIT Indore
vishalfzd@gmail.com
The document discusses various layout optimizations that can be made to standard cells to reduce both internal power and area. These include removing "hammer head" structures to decrease transistor length, moving gate contacts over active areas to reduce transistor height, and reducing source/drain capacitances to decrease dynamic current without impacting speed. Post-layout simulations showed a new D flip-flop design with these optimizations reduced internal power by 20% while maintaining clock-to-Q delay, and improved saturation current by 15-50% while reducing area by 20%.
System on a chip (SoC) integrates a complete electronic system into a single chip. It includes an embedded processor, application-specific integrated circuits (ASICs), analog circuits, and embedded memory. SoCs offer benefits like lower cost, power consumption, and size compared to discrete components. However, designing SoCs is challenging due to their complexity, which requires extensive verification of reusable intellectual property blocks. Major applications of SoCs include speech processing, image/video processing, and wireless communication technologies.
This document discusses CMOS logic circuits. It begins by defining logic values and how bits are encoded using voltage levels. It then discusses different logic gates like inverters, NAND, NOR and buffers. It explains that logic gates are made from MOS transistors and describes the characteristics of N-type and P-type MOSFETs. The rest of the document discusses various electrical characteristics of CMOS logic circuits like logic levels, noise margins, input/output currents, fan-in, fan-out, propagation delay and power consumption. It also briefly mentions different CMOS logic families and issues around interfacing CMOS and TTL logic standards.
Design And Analysis of Charge Pump for PLL at 90nm CMOS technologyRavi Chandra
The document discusses the design and analysis of a charge pump for phase locked loop applications in 90nm CMOS technology. It begins with an introduction to charge pumps and their use in PLLs. It then outlines the objectives of designing an optimized charge pump with reduced charge sharing and current mismatching. The methodology discusses studying conventional charge pump design, designing a proposed charge pump using operational amplifiers for current matching, and analyzing effects of process, voltage and temperature variation on output voltage. Simulation results show the proposed design has less current mismatching, wider output voltage range, and is more immune to PVT variation compared to a conventional design.
This document provides an overview of system on chip (SoC) design. It discusses that a SoC integrates all components of an electronic system onto a single chip, and that SoC design involves identifying user needs and integrating various intellectual property blocks. The document then covers SoC fundamentals like the use of soft and hard IP cores, the design flow from specification to fabrication, and strategies for addressing SoC complexity through partitioning, abstraction levels, and reuse of pre-designed components.
FPGAs can be programmed after manufacturing to implement custom logic functions. They contain programmable logic blocks and interconnects that can be configured to create custom circuits. FPGAs provide flexibility compared to ASICs but have higher per-unit costs. The FPGA architecture consists of configurable logic blocks, programmable interconnects, and I/O blocks. Configurable logic blocks contain LUTs that implement logic functions. Programmable interconnects connect the logic blocks, and I/O blocks interface with external components. FPGAs are commonly used for prototyping, emulation, parallel computing, and other applications that require customizable hardware.
The document discusses the structure and components of field programmable gate arrays (FPGAs). FPGAs consist of programmable logic blocks, interconnects, and input/output blocks. The logic blocks contain lookup tables and flip flops that can be programmed to implement desired logic functions. The interconnects include vertical and horizontal routing channels and switch boxes that allow the logic blocks to be connected as needed. The input/output blocks provide interfaces between the FPGA and external devices.
The document describes the design of combinational logic circuits for 7-segment displays, comparators, adders, and parallel adders. It includes truth tables, Karnaugh maps, logic expressions, and circuit diagrams for 7-segment displays to display digits, a 2-bit comparator to compare two binary numbers, half adders, full adders using half adders, and a 4-bit parallel binary adder.
System partitioning in VLSI and its considerationsSubash John
System partitioning divides a large circuit into smaller subcircuits. This allows the subcircuits to be designed independently and in parallel, speeding up the design process. Effective partitioning aims to minimize connections between subcircuits. Tool-based partitioning allows constraints to be set to generate a partitioned netlist that balances subcircuit sizes and minimizes connections between top-level blocks.
Memory is organized in a hierarchy to balance speed, size, and cost. This includes registers, cache, main memory, and secondary storage. The memory hierarchy places faster but smaller and more expensive memory closer to the CPU. Main memory uses DRAM chips that are organized into rows and columns. Cache memory uses SRAM and exploits locality to provide faster access than main memory.
Lec11 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Memory part3Hsien-Hsin Sean Lee, Ph.D.
This document discusses DRAM and storage systems. It begins by describing the basic DRAM cell and how DRAM is organized into banks, rows, and columns. It then covers DRAM operation including refreshing and different DRAM standards. The document also discusses disk organization with platters, tracks, and sectors. It provides details on disk access times and reliability techniques like RAID levels 0 through 6 which use data mirroring, striping, and error correction codes.
This document discusses field programmable gate arrays (FPGAs). It begins by describing FPGA basics and architecture, including configurable logic blocks (CLBs), I/O blocks, and switch matrices. It then discusses FPGA advantages such as low cost, fast prototyping, and reusability. The document also covers FPGA process technologies including SRAM, antifuse, and EPROM/EEPROM/Flash. It provides details on FPGA architectures, logic elements, routing, memory blocks, and examples of Xilinx FPGAs.
This document discusses nonlinear effects in RF transceiver module design. It begins by outlining the causes of nonlinear distortion, including internal and external interference effects. It then analyzes specific nonlinear effects like 1-dB compression point, second-order intercept point, and third-order intercept point. The document examines these effects for both single-tone and two-tone input signals. Nonlinear characteristics are evaluated using concepts like intercept points and two-tone intermodulation distortions. Linear and nonlinear amplifier classes are also introduced.
The document discusses the ATmega32 microcontroller. It begins by defining a microcontroller as a small computer containing a processor, memory, and programmable input/output pins. It then lists some key features of the ATmega32 microcontroller, which include 32 I/O pins, 32KB of flash memory, 1024 bytes of EEPROM, and the ability to handle 3 external interrupts. The document also briefly covers the Von Neumann and Harvard architectures and how the ATmega32 is programmed using languages like Assembly, C, and C++ through the AVR studio software.
Fan in and Fan out related to vlsi design basic circuit concepts. This will be used for IC design process. By using such key methods, the performance of the circuit in IC will be improved in a better manner.
This document describes the design of a CMOS-based ring oscillator. A ring oscillator uses an odd number of inverter stages connected in a loop to produce oscillations. It has advantages over LC oscillators like small size and wide oscillation range. Ring oscillators are used in circuits like PLLs and clock recovery that require precise timing measurements. The document explains the design of 3-stage and 9-stage ring oscillators and discusses factors like gate delay, jitter, and phase noise that affect their performance.
This document provides an overview of VLSI technology trends over time. It discusses how Moore's Law has been sustained through transistor scaling down to the nanometer level enabled by various techniques like strained silicon, high-k dielectrics, metal gates, SOI, multi-gate transistors like FinFETs. It outlines the evolution from bipolar junction transistors to MOSFETs to integrated circuits. Short channel effects posed challenges to scaling which were addressed through new device architectures in the second generation of scaling.
This document discusses physical design verification checks that are performed on an integrated circuit layout. It describes design rule checking (DRC) which checks that a layout adheres to foundry design rules for manufacturability. Layout versus schematic (LVS) checks that the layout connectivity matches the schematic netlist. Electrical rule checking (ERC) identifies electrical issues like floating devices or short circuits. The document provides examples of DRC, LVS, and ERC checks and typical issues found during these verification steps.
UNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONSDr.YNM
Dr. Y.Narasimha Murthy Ph.D introduces programmable logic devices and their evolution from PLDs to CPLDs and FPGAs. The document discusses the basic architecture and applications of ROM, RAM, PLDs including PLA, PAL and GAL. It provides details on the programmable AND and OR planes in a PLA and compares device types based on their AND and OR array programmability. SPLDs, CPLDs and FPGAs are the main types of PLDs discussed.
This document describes an experiment on code conversion in Verilog. It includes the conversion of binary to gray code, gray to binary code, and binary coded decimal (BCD) to excess-3 code. Verilog code modules are provided for each conversion along with output waveforms. The experiment verified the results and modeled the conversions at both the gate level and behavioral level. The learning outcome was understanding code conversion and how to derive logic expressions from Karnaugh maps.
I have prepared it to create an understanding of delay modeling in VLSI.
Regards,
Vishal Sharma
Doctoral Research Scholar,
IIT Indore
vishalfzd@gmail.com
The document discusses various layout optimizations that can be made to standard cells to reduce both internal power and area. These include removing "hammer head" structures to decrease transistor length, moving gate contacts over active areas to reduce transistor height, and reducing source/drain capacitances to decrease dynamic current without impacting speed. Post-layout simulations showed a new D flip-flop design with these optimizations reduced internal power by 20% while maintaining clock-to-Q delay, and improved saturation current by 15-50% while reducing area by 20%.
System on a chip (SoC) integrates a complete electronic system into a single chip. It includes an embedded processor, application-specific integrated circuits (ASICs), analog circuits, and embedded memory. SoCs offer benefits like lower cost, power consumption, and size compared to discrete components. However, designing SoCs is challenging due to their complexity, which requires extensive verification of reusable intellectual property blocks. Major applications of SoCs include speech processing, image/video processing, and wireless communication technologies.
This document discusses CMOS logic circuits. It begins by defining logic values and how bits are encoded using voltage levels. It then discusses different logic gates like inverters, NAND, NOR and buffers. It explains that logic gates are made from MOS transistors and describes the characteristics of N-type and P-type MOSFETs. The rest of the document discusses various electrical characteristics of CMOS logic circuits like logic levels, noise margins, input/output currents, fan-in, fan-out, propagation delay and power consumption. It also briefly mentions different CMOS logic families and issues around interfacing CMOS and TTL logic standards.
Design And Analysis of Charge Pump for PLL at 90nm CMOS technologyRavi Chandra
The document discusses the design and analysis of a charge pump for phase locked loop applications in 90nm CMOS technology. It begins with an introduction to charge pumps and their use in PLLs. It then outlines the objectives of designing an optimized charge pump with reduced charge sharing and current mismatching. The methodology discusses studying conventional charge pump design, designing a proposed charge pump using operational amplifiers for current matching, and analyzing effects of process, voltage and temperature variation on output voltage. Simulation results show the proposed design has less current mismatching, wider output voltage range, and is more immune to PVT variation compared to a conventional design.
This document provides an overview of system on chip (SoC) design. It discusses that a SoC integrates all components of an electronic system onto a single chip, and that SoC design involves identifying user needs and integrating various intellectual property blocks. The document then covers SoC fundamentals like the use of soft and hard IP cores, the design flow from specification to fabrication, and strategies for addressing SoC complexity through partitioning, abstraction levels, and reuse of pre-designed components.
FPGAs can be programmed after manufacturing to implement custom logic functions. They contain programmable logic blocks and interconnects that can be configured to create custom circuits. FPGAs provide flexibility compared to ASICs but have higher per-unit costs. The FPGA architecture consists of configurable logic blocks, programmable interconnects, and I/O blocks. Configurable logic blocks contain LUTs that implement logic functions. Programmable interconnects connect the logic blocks, and I/O blocks interface with external components. FPGAs are commonly used for prototyping, emulation, parallel computing, and other applications that require customizable hardware.
The document discusses the structure and components of field programmable gate arrays (FPGAs). FPGAs consist of programmable logic blocks, interconnects, and input/output blocks. The logic blocks contain lookup tables and flip flops that can be programmed to implement desired logic functions. The interconnects include vertical and horizontal routing channels and switch boxes that allow the logic blocks to be connected as needed. The input/output blocks provide interfaces between the FPGA and external devices.
The document describes the design of combinational logic circuits for 7-segment displays, comparators, adders, and parallel adders. It includes truth tables, Karnaugh maps, logic expressions, and circuit diagrams for 7-segment displays to display digits, a 2-bit comparator to compare two binary numbers, half adders, full adders using half adders, and a 4-bit parallel binary adder.
System partitioning in VLSI and its considerationsSubash John
System partitioning divides a large circuit into smaller subcircuits. This allows the subcircuits to be designed independently and in parallel, speeding up the design process. Effective partitioning aims to minimize connections between subcircuits. Tool-based partitioning allows constraints to be set to generate a partitioned netlist that balances subcircuit sizes and minimizes connections between top-level blocks.
Memory is organized in a hierarchy to balance speed, size, and cost. This includes registers, cache, main memory, and secondary storage. The memory hierarchy places faster but smaller and more expensive memory closer to the CPU. Main memory uses DRAM chips that are organized into rows and columns. Cache memory uses SRAM and exploits locality to provide faster access than main memory.
Lec11 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Memory part3Hsien-Hsin Sean Lee, Ph.D.
This document discusses DRAM and storage systems. It begins by describing the basic DRAM cell and how DRAM is organized into banks, rows, and columns. It then covers DRAM operation including refreshing and different DRAM standards. The document also discusses disk organization with platters, tracks, and sectors. It provides details on disk access times and reliability techniques like RAID levels 0 through 6 which use data mirroring, striping, and error correction codes.
The document discusses various logic families and memory concepts. It provides details on propagation delay, noise margin, TTL NAND gate, ECL logic family characteristics, MOS transistor advantages, CMOS inverter and NAND gate structure, SRAM and DRAM organization and operation, and comparisons between different types of ROM such as PROM, EPROM, and EEPROM.
A 16-bit computer can address up to 65,536 memory locations, while a 32-bit computer can address 4,294,967,296 locations and a 40-bit computer can address 1,099,511,627,776 locations. Most commercial machines are byte-addressable. RAM is random access memory where any location can be accessed in a fixed amount of time regardless of its address. Dynamic RAMs need to be periodically refreshed to retain data stored in capacitors, while static RAMs retain data as long as power is supplied. Larger memories can be constructed using multiple memory chips organized into rows and columns.
DRAM Cell - Working and Read and Write OperationsNaman Bhalla
DRAM stores each bit in a separate capacitor within an integrated circuit. It is volatile memory that loses its data when power is removed. DRAM uses row and column address lines to access individual memory cells for read/write operations. During reads, the charge level of the capacitor is amplified and transmitted on the column line. Writes override the charge level using a write driver. DRAM must periodically refresh all cells in a row to restore charge levels before they dissipate. Modern DRAM improves performance using techniques like banking, pipelining, and prefetching multiple data words on each memory access.
Unit- 4 Computer Oganization and Architecturethangamsuresh3
The document discusses different types of computer memory. It begins by introducing random access memory (RAM) and read-only memory (ROM). It then discusses performance measures of memory and requirements for memory management. The document outlines cache memory and virtual memory. It describes various memory technologies like magnetic, optical, and multilevel memories. It provides details on RAM, including static and dynamic RAM. It explains the organization and operation of different types of DRAM like asynchronous and synchronous DRAM.
The document discusses the memory system in computers including main memory, cache memory, and different types of memory chips. It provides details on the following key points in 3 sentences:
The document discusses the different levels of memory hierarchy including main memory, cache memory, and auxiliary memory. It describes the basic concepts of memory including addressing schemes, memory access time, and memory cycle time. Examples of different types of memory chips are discussed such as SRAM, DRAM, ROM, and cache memory organization and mapping techniques.
This document summarizes a student project to design a 32KB SRAM memory array using Cadence Virtuoso and a 45nm technology. It includes the design of a 6 transistor SRAM cell, row and column decoders, critical path components like the precharge circuit and sense amplifiers. Two types of sense amplifiers - differential and latched-based were modeled and their read delay, power consumption and sizing were compared through simulation. The results showed that the latched-based sense amplifier consumed 14x less power, had less read delay and size making it a better choice compared to the differential sense amplifier.
This document provides an overview of RAM (random access memory). It describes RAM as volatile memory that does not retain data when power is turned off. The document then discusses RAM components like SRAM and DRAM. SRAM stores bits using a flip-flop circuit that retains data as long as power is applied, while DRAM uses a capacitor and transistor that must be regularly refreshed to maintain its charge and data. The document concludes with a comparison of SRAM and DRAM, noting key differences in their data volatility, refresh needs, cell structures, speeds and costs.
Memory is a device used to store data or programs either temporarily or permanently for use in a computer. There are different types of memory based on their characteristics such as location, capacity, unit of transfer, access method, performance, physical type and organization. Common memory types include RAM, ROM, and external memory such as magnetic disks. The memory hierarchy consists of registers, cache, main memory and external storage. Cache memory uses the principle of locality to improve memory access time by storing recently accessed data from main memory.
This document provides an introduction to a thesis on reducing leakage power in cache memory cells. It discusses how advanced microprocessors require large, low-cost memories that cannot be satisfied by embedded DRAMs or planar DRAMs alone. SRAM is commonly used for cache due to its fast access times. Reducing leakage in even a single cache cell can significantly improve overall system power efficiency since caches are large. The objective is to analyze circuit techniques to reduce leakage in 6T SRAM cache cells and compare a proposed 5T cell. Key topics to be covered include memory concepts, cache overview, leakage sources and reduction techniques, and 5T cell design. Terminology used is also defined.
This document provides an overview of different types of semiconductor memories, including DRAM, SRAM, ROM, and other non-volatile memories. It discusses the key design considerations and tradeoffs for memories such as area efficiency, access time, power consumption. The document then describes the basic operation and characteristics of common memory cell types like DRAM, SRAM, ROM. It provides details on DRAM components and operation including the 1T cell, sense amplifiers, decoders, input/output circuits. The document also discusses SRAM cell types and their relative advantages. In summary, the document serves as an introduction to various memory technologies and compares their high-level features.
Memory Hierarchy PPT of Computer Organization2022002857mbit
The document discusses memory hierarchy and cache design. It begins by listing sources used to create slides on this topic. It then provides definitions of key terms like cache hit, miss, hit time, and miss penalty. The document explains the principles of memory hierarchy, including exploiting locality of reference and implementing multiple memory levels with decreasing size but increasing speed. It discusses technologies like SRAM and DRAM that are commonly used for caches and main memory. The document also addresses four important questions in cache design: block placement, block identification, block replacement, and write strategy.
This document is a presentation on RAM that was presented by Tipu Sultan and Md Shakhawat Hossain Sujon to Tafisr Ahmed Khan. It summarizes the key differences between SRAM and DRAM. SRAM does not require refresh cycles but is more expensive and slower than DRAM. A typical SRAM cell uses 6 transistors arranged in two cross-coupled inverters, while a DRAM cell uses one transistor and one capacitor. DRAM must perform periodic refresh cycles to maintain its data due to capacitor leakage, whereas SRAM maintains its data statically without refresh.
This document discusses SRAM architecture and design. It begins with an overview of SRAM components like memory arrays, SRAM cells, decoders, and column circuitry. It then covers the operation and sizing of 6T SRAM cells for read and write operations. Additional topics include decoder layouts, sense amplifiers, column multiplexing, and approaches for multi-ported SRAM designs. Finally, it briefly introduces several types of serial access memories like shift registers, tapped delay lines, and FIFO/LIFO queues.
The document discusses the memory system of computers. It begins by outlining the basic concepts of memory including that memory speed impacts program execution speed. Ideal memory would be fast, large, and inexpensive but it is impossible to meet all three simultaneously. The document then discusses different types of memory including semiconductor RAM, ROM, cache memory, and virtual memory. It describes the internal organization and operation of static RAM and dynamic RAM chips.
This document summarizes different types of random access memory (RAM), including static RAM (SRAM), dynamic RAM (DRAM), synchronous DRAM (SDRAM), and double data rate SDRAM (DDR SDRAM). It describes the basic operation and characteristics of each type of RAM, such as the use of transistors and capacitors, refresh requirements, packaging, and timing. Key details covered include the differences between SRAM and DRAM, DRAM refresh requirements, DRAM and SDRAM timing diagrams, and how DDR SDRAM transfers data on both clock edges.
The document discusses several key concepts related to computer memory systems:
1. It describes the maximum size and organization of main memory, including byte-addressability and the connection between the CPU and memory.
2. It discusses measures of memory speed like access time and cycle time, and techniques to increase effective memory size and speed like cache memory and virtual memory.
3. It provides details on the basic organization and operation of different types of semiconductor memories like SRAM, DRAM, ROM, PROM, EPROM, and flash memory.
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTjpsjournal1
The rivalry between prominent international actors for dominance over Central Asia's hydrocarbon
reserves and the ancient silk trade route, along with China's diplomatic endeavours in the area, has been
referred to as the "New Great Game." This research centres on the power struggle, considering
geopolitical, geostrategic, and geoeconomic variables. Topics including trade, political hegemony, oil
politics, and conventional and nontraditional security are all explored and explained by the researcher.
Using Mackinder's Heartland, Spykman Rimland, and Hegemonic Stability theories, examines China's role
in Central Asia. This study adheres to the empirical epistemological method and has taken care of
objectivity. This study analyze primary and secondary research documents critically to elaborate role of
china’s geo economic outreach in central Asian countries and its future prospect. China is thriving in trade,
pipeline politics, and winning states, according to this study, thanks to important instruments like the
Shanghai Cooperation Organisation and the Belt and Road Economic Initiative. According to this study,
China is seeing significant success in commerce, pipeline politics, and gaining influence on other
governments. This success may be attributed to the effective utilisation of key tools such as the Shanghai
Cooperation Organisation and the Belt and Road Economic Initiative.
Introduction- e - waste – definition - sources of e-waste– hazardous substances in e-waste - effects of e-waste on environment and human health- need for e-waste management– e-waste handling rules - waste minimization techniques for managing e-waste – recycling of e-waste - disposal treatment methods of e- waste – mechanism of extraction of precious metal from leaching solution-global Scenario of E-waste – E-waste in India- case studies.
Advanced control scheme of doubly fed induction generator for wind turbine us...IJECEIAES
This paper describes a speed control device for generating electrical energy on an electricity network based on the doubly fed induction generator (DFIG) used for wind power conversion systems. At first, a double-fed induction generator model was constructed. A control law is formulated to govern the flow of energy between the stator of a DFIG and the energy network using three types of controllers: proportional integral (PI), sliding mode controller (SMC) and second order sliding mode controller (SOSMC). Their different results in terms of power reference tracking, reaction to unexpected speed fluctuations, sensitivity to perturbations, and resilience against machine parameter alterations are compared. MATLAB/Simulink was used to conduct the simulations for the preceding study. Multiple simulations have shown very satisfying results, and the investigations demonstrate the efficacy and power-enhancing capabilities of the suggested control system.
Literature Review Basics and Understanding Reference Management.pptxDr Ramhari Poudyal
Three-day training on academic research focuses on analytical tools at United Technical College, supported by the University Grant Commission, Nepal. 24-26 May 2024
Embedded machine learning-based road conditions and driving behavior monitoringIJECEIAES
Car accident rates have increased in recent years, resulting in losses in human lives, properties, and other financial costs. An embedded machine learning-based system is developed to address this critical issue. The system can monitor road conditions, detect driving patterns, and identify aggressive driving behaviors. The system is based on neural networks trained on a comprehensive dataset of driving events, driving styles, and road conditions. The system effectively detects potential risks and helps mitigate the frequency and impact of accidents. The primary goal is to ensure the safety of drivers and vehicles. Collecting data involved gathering information on three key road events: normal street and normal drive, speed bumps, circular yellow speed bumps, and three aggressive driving actions: sudden start, sudden stop, and sudden entry. The gathered data is processed and analyzed using a machine learning system designed for limited power and memory devices. The developed system resulted in 91.9% accuracy, 93.6% precision, and 92% recall. The achieved inference time on an Arduino Nano 33 BLE Sense with a 32-bit CPU running at 64 MHz is 34 ms and requires 2.6 kB peak RAM and 139.9 kB program flash memory, making it suitable for resource-constrained embedded systems.
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesChristina Lin
Traditionally, dealing with real-time data pipelines has involved significant overhead, even for straightforward tasks like data transformation or masking. However, in this talk, we’ll venture into the dynamic realm of WebAssembly (WASM) and discover how it can revolutionize the creation of stateless streaming pipelines within a Kafka (Redpanda) broker. These pipelines are adept at managing low-latency, high-data-volume scenarios.
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELgerogepatton
As digital technology becomes more deeply embedded in power systems, protecting the communication
networks of Smart Grids (SG) has emerged as a critical concern. Distributed Network Protocol 3 (DNP3)
represents a multi-tiered application layer protocol extensively utilized in Supervisory Control and Data
Acquisition (SCADA)-based smart grids to facilitate real-time data gathering and control functionalities.
Robust Intrusion Detection Systems (IDS) are necessary for early threat detection and mitigation because
of the interconnection of these networks, which makes them vulnerable to a variety of cyberattacks. To
solve this issue, this paper develops a hybrid Deep Learning (DL) model specifically designed for intrusion
detection in smart grids. The proposed approach is a combination of the Convolutional Neural Network
(CNN) and the Long-Short-Term Memory algorithms (LSTM). We employed a recent intrusion detection
dataset (DNP3), which focuses on unauthorized commands and Denial of Service (DoS) cyberattacks, to
train and test our model. The results of our experiments show that our CNN-LSTM method is much better
at finding smart grid intrusions than other deep learning algorithms used for classification. In addition,
our proposed approach improves accuracy, precision, recall, and F1 score, achieving a high detection
accuracy rate of 99.50%.
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...University of Maribor
Slides from talk presenting:
Aleš Zamuda: Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapter and Networking.
Presentation at IcETRAN 2024 session:
"Inter-Society Networking Panel GRSS/MTT-S/CIS
Panel Session: Promoting Connection and Cooperation"
IEEE Slovenia GRSS
IEEE Serbia and Montenegro MTT-S
IEEE Slovenia CIS
11TH INTERNATIONAL CONFERENCE ON ELECTRICAL, ELECTRONIC AND COMPUTING ENGINEERING
3-6 June 2024, Niš, Serbia
1. ECE 410, Prof. A. Mason Lecture Notes 13.1
Memory Basics
• RAM: Random Access Memory
– historically defined as memory array with individual bit access
– refers to memory with both Read and Write capabilities
• ROM: Read Only Memory
– no capabilities for “online” memory Write operations
– Write typically requires high voltages or erasing by UV light
• Volatility of Memory
– volatile memory loses data over time or when power is removed
• RAM is volatile
– non-volatile memory stores date even when power is removed
• ROM is non-volatile
• Static vs. Dynamic Memory
– Static: holds data as long as power is applied (SRAM)
– Dynamic: must be refreshed periodically (DRAM)
2. ECE 410, Prof. A. Mason Lecture Notes 13.2
SRAM Basics
• SRAM = Static Random Access Memory
– Static: holds data as long as power is applied
– Volatile: can not hold data if power is removed
• 3 Operation States
– hold
– write
– read
• Basic 6T (6 transistor) SRAM Cell
– bistable (cross-coupled) INVs for storage
– access transistors MAL & MAR
• access to stored data for read and write
– word line, WL, controls access
• WL = 0, hold operation
• WL = 1, read or write operation
WL
MAR
MAL
bit bit
3. ECE 410, Prof. A. Mason Lecture Notes 13.3
• Hold
– word line = 0, access transistors are OFF
– data held in latch
• Write
– word line = 1, access tx are ON
– new data (voltage) applied to bit and bit_bar
– data in latch overwritten with new value
• Read
– word line = 1, access tx are ON
– bit and bit_bar read by a sense amplifier
• Sense Amplifier
– basically a simple differential amplifier
– comparing the difference between bit and bit_bar
• if bit > bit_bar, output is 1
• if bit < bit_bar, output is 0
• allows output to be set quickly without fully charging/discharging bit line
SRAM Operations
WL=0
MAR
MAL
bit bit
WL=1
MAR
MAL
bit bit
4. ECE 410, Prof. A. Mason Lecture Notes 13.4
SRAM Bit Cell Circuit
• Two SRAM cells dominate CMOS industry
– 6T Cell
• all CMOS transistors
• better noise immunity
– 4T Cell
• replaces pMOS with high resistance (~1GΩ) resistors
• slightly smaller than 6T cell
• requires an extra high-resistance process layer
5. ECE 410, Prof. A. Mason Lecture Notes 13.5
6T Cell Design
• Critical Design Challenge
– inverter sizing
• to ensure good hold and easy/fast overwrite
– use minimum sized transistors to save area
• unless more robust design required
• Write Operation
– both bit and bit_bar applied
• inputs to inverters both change
• unlike DFF where one INV overrides the other
– critical size ratio, βA/βn
• see resistor model
– want Rn & Rp larger than RA
» so voltage will drop across Rn, Rp
• typical value, βA/βn=2
– so Rn = 2 RA
– set by ratio (W/L)A to (W/L)n
Resistor Model
Write 1 Operation
6. ECE 410, Prof. A. Mason Lecture Notes 13.6
SRAM Cell Layout
• Design Challenge
– minimum cell size (for high density SRAM array)
– with good access to word and bit lines
• Example Layout
– note WL routed in poly
• will create a large RC delay
for large SRAM array
7. ECE 410, Prof. A. Mason Lecture Notes 13.7
Multi-Port SRAM
• Allows multiple access to the same SRAM cell simultaneously.
– Provide high data bandwidth.
• Applications
– Register file
– Cache
– Network switch
– ASIC etc.
D2
D1
D1
D2
Ws1
Ws2
• A multi-port SRAM cell
schematic. Each port has
– two access transistors
– two bit line
– one word selection line.
– one address decoder
inverter feedback loop
bit access bit_bar
access
8. ECE 410, Prof. A. Mason Lecture Notes 13.8
Multi-Port SRAM (cont.)
• Challenges in multi-ports SRAM.
– layout size increases quadratically with # of ports
• more word selection lines
• more bitline lines
– Æ lower speed and higher power consumption
• Multi-port SRAM options for ECE410 Design Project
– Two ports
• 1 port read and write
• 1 port read only
– Three ports
• 2 ports for read and 1 port for write
9. ECE 410, Prof. A. Mason Lecture Notes 13.9
SRAM Arrays
• N x n array of 1-bit cells
– n = byte width; 8, 16, 32, etc.
– N = number of bytes
– m = number of address bits
• max N = 2m
• Array I/O
– data, in and out
• Dn-1 - D0
– address
• Am-1 - A0
– control
• varies with design
• WE = write enable (assert low)
– WE=1=read, WE=0=write
• En = block enable (assert low)
– used as chip enable (CE) for an SRAM chip
Data I/O
Control
Address
10. ECE 410, Prof. A. Mason Lecture Notes 13.10
SRAM Block Architecture
• Example: 2-Core design
– core width = k•n
• n = SRAM word size; 8, 16, etc.
• k = multiplier factor, 2,3,4,etc.
– shared word-line circuits
• horizontal word lines
• WL set by row decoder
– placed in center of 2 cores
– WL in both cores selected at same time
• Addressing Operation
– address word determines which row is
active (which WL =1) via row decoder
– row decoder outputs feed row drivers
• buffers to drive large WL capacitance
• Physical Design
– layout scheme matches regular
patterning shown in schematic
• horizontal and vertical routing
Expanded Core View
Basic SRAM
Block Architecture
11. ECE 410, Prof. A. Mason Lecture Notes 13.11
SRAM Array Addressing
• Standard SRAM Addressing Scheme
– consider a N x n SRAM array
• N = number of bytes, e.g., 512, 2k
• n = byte size, e.g., 8 or 16
– m address bits are divided into x row bits and y column bits (x+y=m)
• address bits are encoded so that 2m = N
• array organized with both both vertical and horizontal stacks of bytes
Rows
Columns
1 SRAM byte
12. ECE 410, Prof. A. Mason Lecture Notes 13.12
SRAM Array Addressing
• Address Latch
– D-latch with enable and output buffers
– outputs both A and A_bar
• Address Bits
– Row address bits = Word Lines, WL
– Column address bits select a subset of bits activated by WL
• Column Organization
– typically, organized physically by bits, not by bytes
– Example, SRAM with 4-bit bytes in 3 columns (y=3)
• 3 4-bit bytes in each row
Byte 1
Byte 2
Byte 3
D3 D2 D1 D0
y0
y1
y2
Column
Address
Address Latch
(Row) Word Line 1 SRAM bit
4th bits 1’s bits
3rd bits 2nd bits
vertical bit lines
bit_bar not shown
13. ECE 410, Prof. A. Mason Lecture Notes 13.13
SRAM Array Column Circuits
• SRAM Row Driver
– decoder output, Dec_out
– enable, En, after address bits decoded
• Row Decoder/Driver activate a row of cells
– each 2-core row contains 2k bytes (2k•n bits)
• Column Multiplexers
– address signals select one of the k bytes as final output
not used in row decoder
– figure shows example for k=3
• for an 8-bit RAM (word size)
– MUX used for Read operations
– De MUX used for Write op.s
• Column Drivers
– bit/bit_bar output for Write operations
size-scaled buffers
Row Driver Circuit
Column MUX/DeMUXs
Column
Driver Circuit
pull-
ups
14. ECE 410, Prof. A. Mason Lecture Notes 13.14
Column Circuitry
• Precharge Concept
– common to use dynamic circuits in SRAMS
• dynamic circuits have precharge and evaluate phases
– precharge high capacitance on bit lines
• avoids heavy capacitive loading on each SRAM cell
• Precharge Phase
– all bit lines pulled to VDD
– all bit_bar to ground
• Evaluate Phase
– bits activated by WL connect to bit lines
• if data = 1, keep precharged value
• if data = 0, discharge bit line Data In Data Out
15. ECE 410, Prof. A. Mason Lecture Notes 13.15
Bit line (column) Circuitry
• expanded (transistor-level) view of SRAM column
pMOS precharge loads
- charge when φ = 0
nMOS switches select
which column/bit is
passed to Read/Write circuit
word lines
(row address)
column
address
16. ECE 410, Prof. A. Mason Lecture Notes 13.16
Sense Amplifiers
• Read sensing scheme
– look at differential signal
• bit and bit_bar
– can get output before bit lines
fully charge/discharge by
amplifying differential signals
• Differential Amplifier
– simple analog circuit
– output high
• if bit > bit_bar
– output low
• if bit_bar > bit
– can implement as dynamic circuit
Differential
Amplifier
17. ECE 410, Prof. A. Mason Lecture Notes 13.17
DRAM Basics
• DRAM = Dynamic Random Access Memory
– Dynamic: must be refreshed periodically
– Volatile: loses data when power is removed
• Comparison to SRAM
– DRAM is smaller & less expensive per bit
– SRAM is faster
– DRAM requires more peripheral circuitry
• 1T DRAM Cell
– single access nFET
– storage capacitor (referenced to VDD or Ground)
– control input: word line, WL
– data I/O: bit line
18. ECE 410, Prof. A. Mason Lecture Notes 13.18
DRAM Operation
• RAM data is held on the storage capacitor
– temporary –due to leakage currents which drain charge
• Charge Storage
– if Cs is charged to Vs
– Qs = Cs Vs
• if Vs = 0, then Qs = 0: LOGIC 0
• if Vs = large, then Qs > 0: LOGIC 1
• Write Operation
– turn on access transistor: WL = VDD
– apply voltage, Vd (high or low), to bit line
– Cs is charged (or discharged)
– if Vd = 0
• Vs = 0, Qs = 0, store logic 0
– if Vd = VDD
• Vs = VDD-Vtn, Qs = Cs(VDD=Vtn), logic 1
• Hold Operation
– turn off access transistor: WL = 0
• charge held on Cs
19. ECE 410, Prof. A. Mason Lecture Notes 13.19
Hold Time
• During Hold, leakage currents will slowly discharge Cs
– due to leakage in the access transistor when it is OFF
– IL = -δQs/δt = -Cs δVs/δt
• if IL is known, can determine discharge time
• Hold Time, th
– max time voltage on Cs is high enough to be a logic 1
• = time to discharge from Vmax to V1 (in figure above)
– th = (Cs/IL)(ΔVs), if we estimate IL as a constant
• desire large hold time
• th increases with larger Cs and lower IL
• typical value, th = 50μsec
– with IL = 1nA, Cs=50fF, and ΔVs=1V
error in textbook, says 0.5μsec near Eqn. 13.
0.1
1
10
100
1000
10000
100000
1000000
0.0001 0.001 0.01 0.1 1 10 100
leakage current (nA)
hold
time
(usec)
20. ECE 410, Prof. A. Mason Lecture Notes 13.20
Refresh Rate
• DRAM is “Dynamic”, data is stored for only short time
• Refresh Operation
– to hold data as long as power is applied, data must be refreshed
– periodically read every cell
• amplify cell data
• rewrite data to cell
• Refresh Rate, frefresh
– frequency at which cells must be
refreshed to maintain data
– frefresh = 1 / 2th
– must include refresh circuitry
in a DRAM circuit
Refresh operation
21. ECE 410, Prof. A. Mason Lecture Notes 13.21
DRAM Read Operation
• Read Operation
– turn on access transistor
– charge on Cs is redistributed on the bit line capacitance, Cbit
– this will change the bit line voltage, Vbit
– which is amplified to read a 1 or 0
• Charge Redistribution
– initial charge on Cs: Qs = Cs Vs
– redistributed on Cbit until
• Vbit = Vs = Vf (final voltage)
– Qs = Cs Vf + Cbit Vf
– Cs Vs = Vf (Cs + Cbit)
• due to charge conservation
– Vf = Cs Vs / (Cs + Cbit), which is always less than Vs
• Vf typically very small and requires a good sense amplifier
22. ECE 410, Prof. A. Mason Lecture Notes 13.22
DRAM Read Operation (cont.)
• DRAM Read Operation is Destructive
– charge redistribution destroys the stored
information
– read operation must contain a simultaneous
rewrite
• Sense Amplifier
– SA_En is the enable for the sense
amplifier
– when EQ is high both sides of the sense
amp are shorted together. The circuit then
holds at it’s midpoint voltage creating a
precharge.
– the input and output of the sense amp
share the same node which allows for a
simultaneous rewrite
http://jas.eng.buffalo.edu/education/system/senseamp/
23. ECE 410, Prof. A. Mason Lecture Notes 13.23
DRAM Physical Design
• Physical design (layout) is CRITICAL in DRAM
– high density is required for commercial success
– current technology provides > 1Gb on a DRAM chip
• Must minimize area of the 1T DRAM cell
– typically only 30% of the chip is needed for peripherals (refresh, etc.)
• For DRAM in CMOS, must minimize area of storage capacitor
– but, large capacitor (> 40fF) is good to increase hold time, th
• Storage Capacitor Examples
– trench capacitor
• junction cap. with large junction area
• using etched pit
– stacked capacitor
• cap. on top of access tx
• using poly plate capacitor
24. ECE 410, Prof. A. Mason Lecture Notes 13.24
ROM Basics
• ROM: Read Only Memory
– no capabilities for “online” memory Write operations
– data programmed
• during fabrication: ROM
• with high voltages: PROM
• by control logic: PLA
– Non-volatile: data stored even when power is removed
• NOR-based ROM
– Example: 8b words stored by NOR-based ROM
– address selects an active ‘row’
– each output bit connected
to the active row will be
high
– otherwise, output will be low
25. ECE 410, Prof. A. Mason Lecture Notes 13.25
Pseudo-nMOS ROM
• Pseudo-nMOS
– always ON active pMOS load
• pulls output high if nMOS is off
– controlled nMOS switch
• pulls output low if input is high
• competes with pMOS
– must be sized properly
• consumes power when output is low
• ROM Structure
– address is decoded to
choose and active ‘row’
– each row line turns on
nMOS where output is zero
– otherwise, output stays high
• Set ROM Data
– by selectively connecting
nMOS to the output lines
pMOS pull-ups outputs
nMOS pull-downs
26. ECE 410, Prof. A. Mason Lecture Notes 13.26
ROM Arrays
• Pseudo nMOS Arrays
– most common style for large ROMS
• Design Concerns
– nMOS must “overdrive” pMOS
– need βn > βp so that VOL is low enough
• must set Wn > Wp
• but, this also increases
row line capacitance
– requires careful analog design
• Programming Methods
– mask programmable
• create nMOS at all points
• define data with poly contacts
– layout programmable
• only place nMOS where needed
• shown in figure Æ
27. ECE 410, Prof. A. Mason Lecture Notes 13.27
ROM Array Layout
• very “regular” layout
• high packing density
– one tx for each
data point
28. ECE 410, Prof. A. Mason Lecture Notes 13.28
Programmable ROM
• PROM
– programmable by user
• using special program tools/modes
– read only memory
• during normal use
– non-volatile
• Read Operation
– like any ROM: address bits select output bit combinations
• Write Operation
– typically requires high voltage (~15V) control inputs to set data
• Erase Operation
– to change data
– EPROM: erasable PROM: uses UV light to reset all bits
– EEPROM: electrically-erasable PROM, erase with control voltage
29. ECE 410, Prof. A. Mason Lecture Notes 13.29
PROM Storage Cells
• Physical Structure
– pair of stacked poly gates
• top gate acts as normal access/control gate
• bottom gate is ‘floating’, changes threshold voltage
• Cell Operation
– no charge on floating gate
• transistor has normal Vtn
– negative charge on floating gate
• opposes action of applied gate voltage
• keeps transistor turned off
– unless a high VtnH is applied; VtnH > VDD so will not turn on with normal voltages
30. ECE 410, Prof. A. Mason Lecture Notes 13.30
EPROM Arrays
• Structure is similar to a RAM Array
• WL selects which word of data will connect to output
• When WL is high
– each tx in the selected data byte will set the output bit line
• if floating gate has no charge, bit line will pull down for a LOGIC 0
• if floating gate is charged, tx will not turn on and bit line will remain
high for a LOGIC 1
• Column circuitry can be used to form arrays, as in RAM
one word in an 8b-wide EPROM
31. ECE 410, Prof. A. Mason Lecture Notes 13.31
Programming & Erasing E2PROMs
• Programming techniques
– hot electron method
• charge (electrons) transferred to the floating
gate by quantum mechanical tunneling of hot
electrons (high energy electrons)
• accomplished by applying a high voltage (~12-30V)
to the drain node
• charge can remain on floating gate for 10-20 years!
– Fowler-Nordheim emission
• uses modified gate geometry to allow quantum
mechanical tunneling from the drain into the
floating gate
• Erasure Techniques
– bit erasure: by reversing programming voltages
– flash EPROMs: erase large block simultaneously
32. ECE 410, Prof. A. Mason Lecture Notes 13.32
Programmable Logic Arrays
• Programmable Logic Array: PLA
– circuit which can be programmed to provide various logic
functions
• Example: Sum-of-Products PLA
– with four inputs (a, b, c, d), the possible SOP outputs are
• f = Σ mi(a,b,c,d) [OR minterms]
• where mi(a,b,c,d) are the minterms [AND inputs]
– has an AND-OR structure which
can be reproduced in circuits
33. ECE 410, Prof. A. Mason Lecture Notes 13.33
AND-OR PLA Implementation
• Logic Array Diagram
– example for
• fx = m0 + m4 + m5
• fy = m3 + m4 + m5 + m15
• etc.
• Programming PLA
– transistor switch at each
optional connection location
– turn tx on to make connection
• VLSI Implementation
– replace AND-OR with NOR gates
15
error in text
34. ECE 410, Prof. A. Mason Lecture Notes 13.34
Gate Arrays
• Gate array chip contains
– a huge array of logic gates
– programmable connections
• allows gates to be combined to make larger functions (e.g., DFF)
• Field Programmable Gate Array (FGPA)
– connections can be programmed easily to redefine function
– can have more than 100,000 logic gates on an FPGA
• capable of emulating complex functions, like a 32-bit microprocessor
– program techniques: the antifuse concept
• physical design: built-in fuses where connections might be wanted
• high current short-circuits the fuse to create low resistance path