SlideShare a Scribd company logo
International Journal of VLSI design & Communication Systems (VLSICS) Vol.5, No.5, October 2014 
A SUB THRESHOLD SOURCE COUPLED LOGIC 
BASED DESIGN OF LOW POWER CMOS 
ANALOG MULTIPLEXER 
G.Deepika1, P.Rama Krishna2 and Dr.K.S.Rao3 
2,3Department of Electronics and Communication Engineering, 
ANURAG Group of Institutions, Hyderabad, India 
1Department of Electronics and Communication Engineering, 
RRS College of Engineeering & Technology, Hyderabad, India 
ABSTRACT 
A novel approach for designing Ultra Low Power and wide dynamic range circuit for multiplexing analog 
signals is presented. The design operates in weak inversion (Sub threshold) region and uses Source - 
Coupled Logic ( SCL) circuit. The bias current of the SCL gates is varied to scale down linearly the power 
consumption and the operating frequency. The multiplexer design employs CMOS transistors as 
transmission gate with dynamic threshold voltage. The design exhibits low power dissipation, high 
dynamic range and good linearity. The design was implemented in 180 nm technology and was operated at 
a supply voltage of 400 mV with a bias current ranging in the order of few Pico-amperes. The ON and 
OFF resistance of the transmission gate achieved were 27 ohms and 10 M ohms respectively. The power 
dissipation achieved is around 0.79 μW for a dynamic range of 1μV to 0.4 V. 
KEYWORDS 
Low Power, Source Coupled Logic, Sub threshold, Multiplexer, Analog Signals. 
1. INTRODUCTION 
This work basically highlights on the applicability of sub-threshold source coupled logic 
(STSCL) for building analog circuits and systems that run at very low voltage and obligation to 
provide enticing performance with exquisite energy savings. Fields like bio-medical engineering 
need very less energy consumption for long battery life. Besides meeting the ultra-low power 
specification, the system must also be reliable and should function under harsh conditions. In this 
work, logic gates are designed and analyzed using STSCL. These gates are used for 
implementation of analog subsystems which would operate at very low supply voltages and 
consume very less power. 
The switch finds many applications in integrated circuit design. In analog circuits, the switch is 
employed to implement useful areas like multiplexing, modulation and other applications. It is 
used as a transmission gate in digital circuits and adds a dimension of flexibility as found in 
standard logic circuits. The implementation of Ultra Low Power Systems has proved to the quite 
DOI : 10.5121/vlsic.2014.5504 45
International Journal of VLSI design & Communication Systems (VLSICS) Vol.5, No.5, October 2014 
pivoted in many modern applications in many areas like mobile systems [1],[2] , sensor 
networks[3],[4], and implantable biomedical applications [5]. Low power has gained more 
importance in today’s electronics industry. The Necessity for low power has caused a major 
paradigm shift where power dissipation has become significant consideration in performance and 
area wise. 
CMOS switches have great characteristics and in its most important form, a voltage-controlled 
resistor which offers very low resistance less than 100  in its ON state and very High resistance 
of several hundreds of Mega ohms in OFF state with Pico-ampere leakage currents. CMOS 
technology is compatible with logic circuitry and integrates large number of ICs. [6]. Its fast 
switching characteristics are well controlled with minimum circuit leakage. MOSFET transistors 
can switch positive and negative voltages and conduct positive and negative currents with equal 
ease. 
Implementation of high performance systems especially for low power applications creates many 
challenges and requires the trade off among speed of operation, power consumption, supply 
voltage and device parameters such as threshold voltage and oxide thickness in conventional 
CMOS technology. 
When the MOSFET is in sub threshold operation the trans conductance to bias current ratio of 
the transistor is maximum and the current density is very low [7], [8]. On the other hand, for 
implementing widely adjustable circuits the exponential relationship between drain current and 
gate voltage makes this mode of operation well suited [7], [9]. The dynamic (switching) power 
consumption which is quadratic ally dependent on the supply voltage will cause the CMOS logic 
circuits utilizing sub threshold region transistors operate with a very low power consumption 
[10]–[13]. Therefore reduction in supply voltage reduces power dissipation and also output 
voltage swing [1], [14] thereby increasing the delay in each gate. This means the power 
dissipation, logic swing, and speed of operation are related to each other. The control of power 
consumption becomes difficult due to the exponential relation between power dissipation and 
supply voltage in sub threshold region. To implement very low power systems it is necessary to 
minimize the power dissipation at the system level in addition to the gate level for achieving 
desired performance [10]. 
This paper presents a new topology for implementing analog switch for ultra low power 
applications. For achieving this a novel approach for implementing Source Coupled Logic ( 
SCL) circuits biased in sub threshold region is described. The speed of operation is independent 
of supply voltage and threshold voltage of devices. In addition the current consumption in each 
cell can be brought down to few pico- Amperes. It is therefore possible to reduce the system 
power consumption well below the sub threshold leakage current of conventional CMOS circuits. 
To enable operation at very low current levels and to attain the desired performance 
specifications, special circuit techniques have to be applied for implementing very low power 
SCL circuits. 
The work focuses on the technique for implementing sub threshold (STSCL) gates where the bias 
current of each cell can be set as low as 10pA. A brief review of SCL circuits, the proposed 
technique for implementing the low power analog switch using sub threshold SCL gates, power 
consumption and experimental results are described in the following sections. 
46
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
47 
2. SUBTHRESHOLD SOURCE-COUPLED LOGIC CIRCUITS 
The speed of operation in an SCL gate is inherently high as the logic operation mainly takes place 
in current domain. An NMOS source coupled differential pair transistors acts as a switch to steer 
the tail current Iss to one of the output depending on the input logic. The Load resistor RL 
converts this current to output voltage to drive the other SCL gates. In order to switch the input 
differential pair of the next stage the output voltage swing ( RL ISS ) should be adequately high. 
Based on this the drain source over drive voltage input pair should be larger than 2 n Vdssat when 
Vin = 0. 
Fig. 1. Source Coupled Logic-based inverter/buffer circuit. 
A source coupled logic based inverter/ buffer circuit is shown in FIG 1. More complex logic 
functions can be implemented by using a complex network of NMOS source coupled pairs as 
switching part [7,13]. The load resistance RL is implemented by biasing the PMOS device in 
triode region and also NMOS switching network should be arranged in a proper way to achieve 
desired logic operation. The input logic level steers the tail bias current into one of the branch of 
the source coupled pair and this current is converted to voltage by the load resistance. The DC 
response of the SCL circuit is given in FIG 2. 
Operating In sub threshold region, the device trans conductance does not depend upon the device 
size but strongly depends on the temperature through UT. Hence by changing the design 
parameters it is not possible to change the transfer curve [12].
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
48 
FIG 2. DC response of the SCL circuit. 
The voltage swing and the current required for charging and discharging the parasitic 
capacitances is less in SCL topology, when compared to the CMOS topology where the signal 
swing is equal to VDD. The major advantage in SCL topology is reduction in signal swing. In 
order to make the tail bias current completely switch to one of the two output branches, the 
voltage swing at the input and output of a logic circuit should be high enough. The voltage swing 
at the output node is given as 
VSW = RL . ISS 
should be adequately high to switch completely the input differential pair of the next stage. In 
other words SCL circuit can be used as a logic circuit with allowable noise margin if its gain is 
sufficiently high. The region of operation of the NMOS devices [17,18] gives the minimum 
allowable voltage swing at the output of each SCL gate. 
,=	2 ∙
∙
, 
4 ∙
∙
ℎℎ ! 
 
Where
is the subthreshold slope factor of NMOS devices. In the sub threshold region the 
required voltage swing can be as low as 150 mV at room temperature (assuming ). This swing in 
the sub threshold region depends on the sub threshold slope factor and is independent of the 
threshold voltage of the NMOS switching devices. 
A PMOS device is used as a load device when SCL gate is biased in sub threshold region as 
shown in FIG 1. Since all the devices are operated in sub threshold region the tail bias current can 
be reduced till comparable with leakage currents in the circuit. 
The trans conductance of the input differential pair is given as
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
PMOS and NMOS Transistors can be combined as transmission gate for implementing analog 
switch which selectively allows or blocks the signal from input to output. The transistors are 
turned ON or OFF by applying control signals to the gates in complimentary manner and at the 
output a drop in signal amplitude is observed. This problem is eliminated by modifying the 
transmission gate by stacking transistors and the signal is passed to the output without any loss. 
49 
3. BODY BIASING 
The voltage difference between the Transistors Source and the Bulk (VSB) will effect the change 
in Transistor Threshold voltage VT. Since VSB effects VT the bulk can be treated as second Gate 
that helps to identify how the transistor turns On and OFF. 
Body effect refers to the change in the transistor threshold voltage (VT) resulting from a voltage 
difference between the transistor source and body. Because the voltage difference between the 
source and body affects the VT, the body can be thought of as a second gate that helps determine 
how the transistor turns ON and OFF. The strength of the body effect is usually quantified by the 
body coefficient g(gamma). The threshold voltage of MOSFET is well known as, 
VTh = VTh0 + gB(Ö|2fF +VSB| - (Ö|2fF| ) 
where VSB is the voltage between source and bulk, fF is the bulk Fermi- potential ,VTh0 is the 
threshold voltage when VSB=0 and g B is the body-effect coefficient. Therefore varying threshold 
voltage Vth can be changed by varying VSB which can form dynamic threshold voltage MOSFET 
(DTMOS). Normally, the source and body junction is either zero-biased or reverse-biased. 
Forward-body-biased MOSFETs can also be used on some circuit to improve performance with 
lower threshold voltage VTh [10]. This concept is utilized in designing the power analog 
multiplexer. The transistors are operated in strong inversion region by means of using 0.4 V 
forward body bias. 
Varieties of body biasing techniques are enabled by strong body effect and these techniques are 
effectively utilized in older generation. This body bias can be applied externally (external to the 
chip) or internally (in chip). The internal approach normally utilizes a charge pump circuit 
provide reverse body bias or potential divider to produce forward body bias. Reverse body bias 
for an n channel transistors increases the threshold voltage and makes the transistors both slow 
and less leakage. On the other hand forward body bias reduces the threshold voltage making the 
transistor fast and with more leakage. The polarities of the body bias are opposite for P channel 
transistor. The transmission gate with body bias is given in FIG 3.
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
50 
FIG 3: Transmission gate with dynamic body bias. 
4. MULTIPLEXER DESIGN 
Using the concept of a shift register large number of multiplexers designed in the past. 
Synchronously triggered D-latches using external clock connected serially are used to build shift 
register. Each D-latch enables one S  H circuit. The clock feed-through to the output line is the 
major disadvantage of this circuit. With each clock cycle glitches occur synchronously for every 
positive and negative clock edge. The proposed multiplexer design minimizes this problem. 
Instead of setting the body bias just once either during design or at production test, the Dynamic 
body bias changes the body bias many times when the chip is operating. The temperature and 
aging effects are minimized by Dynamic body bias and also the power management modes for 
optimizing very low power operation are effectively utilized [7], [8]. 
A logic ‘1’ on SEL signal at the gate of NMOS transistors will turn them ON and a 
complimentary SELBR connected to the gate of PMOS transistors will turn them ON and the 
applied signal is allowed to pass from IN to OUT. On the other hand when the SEL is at logic’0’ 
and its complimentary SELBR will turn all the transistors OFF thereby blocking the signal from 
IN to OUT. The output will be forced into a high-impedance state during the transition period 
from ON to OFF where the junction capacitance will be charged to few millivolts. 
A PMOS transistor connected as a pass transistor between the ground and the output node 
minimizes this problem. Whenever all the transistors are in OFF state then the PMOS transistor 
will be turned ON, forcing the output capacitor to discharge to ground. 
The widths of the transistors are maintained in a ratio of 1:2 for NMOS to PMOS. The ON 
resistance Ron of the switch is 
1 1 
Ron = = 
gds μCox W (VGS – VTh) 
L
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
The value of the ON-resistance depends on the overdrive voltage, Vov = Vgs – Vth and on the 
aspect ratio, W/L through the trans conductance parameter μCox. 
An analog loss less multiplexer with eight channels has been designed using this modified circuit 
shown in FIG-3 for each channel. The channels are selected by three binary control inputs. The 
inhibit input is used to Enable or Disable the multiplexer. A low ON resistance of 27 ohms, high 
OFF resistance of 10Mohms,with very low leakage current in the order of Pico amperes were 
obtained with the analog transmission gate. 
51 
5. STSCL GATES  DECODER DESIGN 
The logic gate designed using STSCL is AND gate and the schematic is given in the FIG 4 
below. The circuit is simulated and analyzed so that nominal parameters of the STSCL can used 
which will produce an optimum level output for the system. 
FIG 4: Source coupled logic AND gate 
This AND gate is modified into SCL Nand gate and a 3to 8 decoder is implemented using this 
SCL nand gate. This is used to generate the select signals for each channel from three binary 
control inputs. The block diagram and the circuit diagram of the system is shown in FIG 5(a)  
(b).
International Journal of VLSI design  Communication Systems (VLSICS) Vol.5, No.5, October 2014 
52 
Fig: 5(a). block diagram of 8:1 mux with Decoder 
FIG 5(b) : circuit diagram of 8 channel MUX 
6. RESULTS 
The dynamic body bias is provided by means of potential divider using poly resistors for both 
NMOS and PMOS devices. A capacitor of 100pf is used to reduce the leakage at the output. The 
Inverter and Buffer outputs of the SCL gate drive the NMOS and PMOS devices. The circuit is 
verified with input signals with different amplitudes and frequency. When the SCL output signal 
is a Logic 0 PMOS transistors are turned ON and the complementary Logic 1 is applied to

More Related Content

What's hot

G04515260
G04515260G04515260
G04515260
IOSR-JEN
 
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
irjes
 
IRJET- A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
IRJET-  	  A Novel High Speed Power Efficient Double Tail Comparator in 180nm...IRJET-  	  A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
IRJET- A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
IRJET Journal
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile Application
IJTET Journal
 
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
Projectsatbangalore
 
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
IJTET Journal
 
Power quality improvement using impedance network based inverter
Power quality improvement using impedance network based inverterPower quality improvement using impedance network based inverter
Power quality improvement using impedance network based inverter
eSAT Publishing House
 
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
IJMER
 
A three level quasi-two-stage single-phase pfc converter with flexible output...
A three level quasi-two-stage single-phase pfc converter with flexible output...A three level quasi-two-stage single-phase pfc converter with flexible output...
A three level quasi-two-stage single-phase pfc converter with flexible output...
LeMeniz Infotech
 
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
International Journal of Power Electronics and Drive Systems
 
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
LeMeniz Infotech
 
Multilevel inverter fault detectiion classification and diagnosis
Multilevel inverter fault detectiion classification and diagnosisMultilevel inverter fault detectiion classification and diagnosis
Multilevel inverter fault detectiion classification and diagnosis
suryakant tripathi
 
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
MOHAMMED SAQIB
 
Simulation of SPWM based Z-Source Inverter
Simulation of SPWM based Z-Source InverterSimulation of SPWM based Z-Source Inverter
Simulation of SPWM based Z-Source Inverter
IOSR Journals
 
Research on hybrid modulation strategies on the hybrid
Research on hybrid modulation strategies on the hybridResearch on hybrid modulation strategies on the hybrid
Research on hybrid modulation strategies on the hybridBalamurugan Ramu
 
Multilevel inverter
Multilevel inverterMultilevel inverter
Multilevel inverterusic123
 
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
IJARBEST JOURNAL
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
VLSICS Design
 
IRJET - Comparative Study of Different AC-DC Converter for High Step Down
IRJET - Comparative Study of Different AC-DC Converter for High Step DownIRJET - Comparative Study of Different AC-DC Converter for High Step Down
IRJET - Comparative Study of Different AC-DC Converter for High Step Down
IRJET Journal
 
Simulation and analysis of multilevel inverter with reduced number of switches
Simulation and analysis of multilevel inverter with reduced number of switchesSimulation and analysis of multilevel inverter with reduced number of switches
Simulation and analysis of multilevel inverter with reduced number of switches
IAEME Publication
 

What's hot (20)

G04515260
G04515260G04515260
G04515260
 
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
Design and Control of Switched-Inductor Quasi-Z-Source Inverter for Photovolt...
 
IRJET- A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
IRJET-  	  A Novel High Speed Power Efficient Double Tail Comparator in 180nm...IRJET-  	  A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
IRJET- A Novel High Speed Power Efficient Double Tail Comparator in 180nm...
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile Application
 
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
A Cascaded Multilevel Inverter Based on Switched-Capacitor for High-Frequency...
 
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
Space Vector of Three Phase Three level Neutral Point Clamped Quasi Z Source ...
 
Power quality improvement using impedance network based inverter
Power quality improvement using impedance network based inverterPower quality improvement using impedance network based inverter
Power quality improvement using impedance network based inverter
 
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
Application of PWM Control Strategy on Z-Source Isolated Dual active bridge D...
 
A three level quasi-two-stage single-phase pfc converter with flexible output...
A three level quasi-two-stage single-phase pfc converter with flexible output...A three level quasi-two-stage single-phase pfc converter with flexible output...
A three level quasi-two-stage single-phase pfc converter with flexible output...
 
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
A Novel Single Phase bridgeless AC/DC PFC converter for Low Total Harmonics D...
 
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
 
Multilevel inverter fault detectiion classification and diagnosis
Multilevel inverter fault detectiion classification and diagnosisMultilevel inverter fault detectiion classification and diagnosis
Multilevel inverter fault detectiion classification and diagnosis
 
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
BE-EEE-8th sem project report for the project titled "Asymmetrical Multilevel...
 
Simulation of SPWM based Z-Source Inverter
Simulation of SPWM based Z-Source InverterSimulation of SPWM based Z-Source Inverter
Simulation of SPWM based Z-Source Inverter
 
Research on hybrid modulation strategies on the hybrid
Research on hybrid modulation strategies on the hybridResearch on hybrid modulation strategies on the hybrid
Research on hybrid modulation strategies on the hybrid
 
Multilevel inverter
Multilevel inverterMultilevel inverter
Multilevel inverter
 
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
Review of Integrated Power Factor Correction (PFC) Boost converter topologies...
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
 
IRJET - Comparative Study of Different AC-DC Converter for High Step Down
IRJET - Comparative Study of Different AC-DC Converter for High Step DownIRJET - Comparative Study of Different AC-DC Converter for High Step Down
IRJET - Comparative Study of Different AC-DC Converter for High Step Down
 
Simulation and analysis of multilevel inverter with reduced number of switches
Simulation and analysis of multilevel inverter with reduced number of switchesSimulation and analysis of multilevel inverter with reduced number of switches
Simulation and analysis of multilevel inverter with reduced number of switches
 

Viewers also liked

DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATORDESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
VLSICS Design
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
VLSICS Design
 
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
VLSICS Design
 
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITSEXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
VLSICS Design
 
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOPVlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
VLSICS Design
 
AN INVESTIGATION INTO THE RELATIONSHIPS BETWEEN LOGICAL OPERATIONS
AN INVESTIGATION INTO THE RELATIONSHIPS  BETWEEN LOGICAL OPERATIONSAN INVESTIGATION INTO THE RELATIONSHIPS  BETWEEN LOGICAL OPERATIONS
AN INVESTIGATION INTO THE RELATIONSHIPS BETWEEN LOGICAL OPERATIONSVLSICS Design
 
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
VLSICS Design
 
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOPLEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
VLSICS Design
 
Multisim design and simulation of 2.2 g hz lna for wireless communication
Multisim design and simulation of 2.2 g hz lna for wireless communicationMultisim design and simulation of 2.2 g hz lna for wireless communication
Multisim design and simulation of 2.2 g hz lna for wireless communication
VLSICS Design
 
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
VLSICS Design
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
VLSICS Design
 
Impact of parameter variations and optimization on dg pnin tunnel fet
Impact of parameter variations and optimization on dg pnin tunnel fetImpact of parameter variations and optimization on dg pnin tunnel fet
Impact of parameter variations and optimization on dg pnin tunnel fet
VLSICS Design
 
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI CircuitsOptimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
VLSICS Design
 
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
VLSICS Design
 
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
VLSICS Design
 
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOCSMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
VLSICS Design
 
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
VLSICS Design
 
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
VLSICS Design
 

Viewers also liked (18)

DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATORDESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
DESIGN OF A PROGRAMMABLE LOW POWER LOW DROP-OUT REGULATOR
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
Designing High-Speed, Low-Power Full Adder Cells Based on Carbon Nanotube Tec...
 
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITSEXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
EXTENDED K-MAP FOR MINIMIZING MULTIPLE OUTPUT LOGIC CIRCUITS
 
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOPVlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
Vlsics040303LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP
 
AN INVESTIGATION INTO THE RELATIONSHIPS BETWEEN LOGICAL OPERATIONS
AN INVESTIGATION INTO THE RELATIONSHIPS  BETWEEN LOGICAL OPERATIONSAN INVESTIGATION INTO THE RELATIONSHIPS  BETWEEN LOGICAL OPERATIONS
AN INVESTIGATION INTO THE RELATIONSHIPS BETWEEN LOGICAL OPERATIONS
 
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
 
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOPLEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
LEAKAGE REDUCTION TECHNIQUE AND ANALYSIS OF CMOS D FLIP FLOP
 
Multisim design and simulation of 2.2 g hz lna for wireless communication
Multisim design and simulation of 2.2 g hz lna for wireless communicationMultisim design and simulation of 2.2 g hz lna for wireless communication
Multisim design and simulation of 2.2 g hz lna for wireless communication
 
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
Evolution of Structure of Some Binary Group-Based N-Bit Compartor, N-To-2N De...
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
 
Impact of parameter variations and optimization on dg pnin tunnel fet
Impact of parameter variations and optimization on dg pnin tunnel fetImpact of parameter variations and optimization on dg pnin tunnel fet
Impact of parameter variations and optimization on dg pnin tunnel fet
 
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI CircuitsOptimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
Optimal Unate Decomposition Method for Synthesis of Mixed CMOS VLSI Circuits
 
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...
 
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
FGMOS BASED LOW-VOLTAGE LOW-POWER HIGH OUTPUT IMPEDANCE REGULATED CASCODE CUR...
 
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOCSMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
 
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
 
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
 

Similar to A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Multiplexer

Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
IJEEE
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
IJERA Editor
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
MalikPinckney86
 
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGNA COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
VLSICS Design
 
A new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuitsA new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuits
VLSICS Design
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
VLSICS Design
 
A DAPTIVE S UPPLY V OLTAGE M ANAGEMENT F OR L OW P OWER L OGIC C IRCU...
A DAPTIVE  S UPPLY  V OLTAGE  M ANAGEMENT  F OR  L OW  P OWER  L OGIC  C IRCU...A DAPTIVE  S UPPLY  V OLTAGE  M ANAGEMENT  F OR  L OW  P OWER  L OGIC  C IRCU...
A DAPTIVE S UPPLY V OLTAGE M ANAGEMENT F OR L OW P OWER L OGIC C IRCU...
VLSICS Design
 
R01043105113
R01043105113R01043105113
R01043105113
IOSR Journals
 
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
VLSICS Design
 
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
VLSICS Design
 
Hv3513651369
Hv3513651369Hv3513651369
Hv3513651369
IJERA Editor
 
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
cscpconf
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
csandit
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
IJERA Editor
 

Similar to A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Multiplexer (20)

Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
ha_report modified
ha_report  modifiedha_report  modified
ha_report modified
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
 
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGNA COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
 
A new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuitsA new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuits
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
A DAPTIVE S UPPLY V OLTAGE M ANAGEMENT F OR L OW P OWER L OGIC C IRCU...
A DAPTIVE  S UPPLY  V OLTAGE  M ANAGEMENT  F OR  L OW  P OWER  L OGIC  C IRCU...A DAPTIVE  S UPPLY  V OLTAGE  M ANAGEMENT  F OR  L OW  P OWER  L OGIC  C IRCU...
A DAPTIVE S UPPLY V OLTAGE M ANAGEMENT F OR L OW P OWER L OGIC C IRCU...
 
R01043105113
R01043105113R01043105113
R01043105113
 
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
 
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
 
Hv3513651369
Hv3513651369Hv3513651369
Hv3513651369
 
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 

Recently uploaded

LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
ssuser9bd3ba
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
Kamal Acharya
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
abh.arya
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
Kamal Acharya
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 

Recently uploaded (20)

LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 

A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Multiplexer

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.5, No.5, October 2014 A SUB THRESHOLD SOURCE COUPLED LOGIC BASED DESIGN OF LOW POWER CMOS ANALOG MULTIPLEXER G.Deepika1, P.Rama Krishna2 and Dr.K.S.Rao3 2,3Department of Electronics and Communication Engineering, ANURAG Group of Institutions, Hyderabad, India 1Department of Electronics and Communication Engineering, RRS College of Engineeering & Technology, Hyderabad, India ABSTRACT A novel approach for designing Ultra Low Power and wide dynamic range circuit for multiplexing analog signals is presented. The design operates in weak inversion (Sub threshold) region and uses Source - Coupled Logic ( SCL) circuit. The bias current of the SCL gates is varied to scale down linearly the power consumption and the operating frequency. The multiplexer design employs CMOS transistors as transmission gate with dynamic threshold voltage. The design exhibits low power dissipation, high dynamic range and good linearity. The design was implemented in 180 nm technology and was operated at a supply voltage of 400 mV with a bias current ranging in the order of few Pico-amperes. The ON and OFF resistance of the transmission gate achieved were 27 ohms and 10 M ohms respectively. The power dissipation achieved is around 0.79 μW for a dynamic range of 1μV to 0.4 V. KEYWORDS Low Power, Source Coupled Logic, Sub threshold, Multiplexer, Analog Signals. 1. INTRODUCTION This work basically highlights on the applicability of sub-threshold source coupled logic (STSCL) for building analog circuits and systems that run at very low voltage and obligation to provide enticing performance with exquisite energy savings. Fields like bio-medical engineering need very less energy consumption for long battery life. Besides meeting the ultra-low power specification, the system must also be reliable and should function under harsh conditions. In this work, logic gates are designed and analyzed using STSCL. These gates are used for implementation of analog subsystems which would operate at very low supply voltages and consume very less power. The switch finds many applications in integrated circuit design. In analog circuits, the switch is employed to implement useful areas like multiplexing, modulation and other applications. It is used as a transmission gate in digital circuits and adds a dimension of flexibility as found in standard logic circuits. The implementation of Ultra Low Power Systems has proved to the quite DOI : 10.5121/vlsic.2014.5504 45
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.5, No.5, October 2014 pivoted in many modern applications in many areas like mobile systems [1],[2] , sensor networks[3],[4], and implantable biomedical applications [5]. Low power has gained more importance in today’s electronics industry. The Necessity for low power has caused a major paradigm shift where power dissipation has become significant consideration in performance and area wise. CMOS switches have great characteristics and in its most important form, a voltage-controlled resistor which offers very low resistance less than 100 in its ON state and very High resistance of several hundreds of Mega ohms in OFF state with Pico-ampere leakage currents. CMOS technology is compatible with logic circuitry and integrates large number of ICs. [6]. Its fast switching characteristics are well controlled with minimum circuit leakage. MOSFET transistors can switch positive and negative voltages and conduct positive and negative currents with equal ease. Implementation of high performance systems especially for low power applications creates many challenges and requires the trade off among speed of operation, power consumption, supply voltage and device parameters such as threshold voltage and oxide thickness in conventional CMOS technology. When the MOSFET is in sub threshold operation the trans conductance to bias current ratio of the transistor is maximum and the current density is very low [7], [8]. On the other hand, for implementing widely adjustable circuits the exponential relationship between drain current and gate voltage makes this mode of operation well suited [7], [9]. The dynamic (switching) power consumption which is quadratic ally dependent on the supply voltage will cause the CMOS logic circuits utilizing sub threshold region transistors operate with a very low power consumption [10]–[13]. Therefore reduction in supply voltage reduces power dissipation and also output voltage swing [1], [14] thereby increasing the delay in each gate. This means the power dissipation, logic swing, and speed of operation are related to each other. The control of power consumption becomes difficult due to the exponential relation between power dissipation and supply voltage in sub threshold region. To implement very low power systems it is necessary to minimize the power dissipation at the system level in addition to the gate level for achieving desired performance [10]. This paper presents a new topology for implementing analog switch for ultra low power applications. For achieving this a novel approach for implementing Source Coupled Logic ( SCL) circuits biased in sub threshold region is described. The speed of operation is independent of supply voltage and threshold voltage of devices. In addition the current consumption in each cell can be brought down to few pico- Amperes. It is therefore possible to reduce the system power consumption well below the sub threshold leakage current of conventional CMOS circuits. To enable operation at very low current levels and to attain the desired performance specifications, special circuit techniques have to be applied for implementing very low power SCL circuits. The work focuses on the technique for implementing sub threshold (STSCL) gates where the bias current of each cell can be set as low as 10pA. A brief review of SCL circuits, the proposed technique for implementing the low power analog switch using sub threshold SCL gates, power consumption and experimental results are described in the following sections. 46
  • 3. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 47 2. SUBTHRESHOLD SOURCE-COUPLED LOGIC CIRCUITS The speed of operation in an SCL gate is inherently high as the logic operation mainly takes place in current domain. An NMOS source coupled differential pair transistors acts as a switch to steer the tail current Iss to one of the output depending on the input logic. The Load resistor RL converts this current to output voltage to drive the other SCL gates. In order to switch the input differential pair of the next stage the output voltage swing ( RL ISS ) should be adequately high. Based on this the drain source over drive voltage input pair should be larger than 2 n Vdssat when Vin = 0. Fig. 1. Source Coupled Logic-based inverter/buffer circuit. A source coupled logic based inverter/ buffer circuit is shown in FIG 1. More complex logic functions can be implemented by using a complex network of NMOS source coupled pairs as switching part [7,13]. The load resistance RL is implemented by biasing the PMOS device in triode region and also NMOS switching network should be arranged in a proper way to achieve desired logic operation. The input logic level steers the tail bias current into one of the branch of the source coupled pair and this current is converted to voltage by the load resistance. The DC response of the SCL circuit is given in FIG 2. Operating In sub threshold region, the device trans conductance does not depend upon the device size but strongly depends on the temperature through UT. Hence by changing the design parameters it is not possible to change the transfer curve [12].
  • 4. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 48 FIG 2. DC response of the SCL circuit. The voltage swing and the current required for charging and discharging the parasitic capacitances is less in SCL topology, when compared to the CMOS topology where the signal swing is equal to VDD. The major advantage in SCL topology is reduction in signal swing. In order to make the tail bias current completely switch to one of the two output branches, the voltage swing at the input and output of a logic circuit should be high enough. The voltage swing at the output node is given as VSW = RL . ISS should be adequately high to switch completely the input differential pair of the next stage. In other words SCL circuit can be used as a logic circuit with allowable noise margin if its gain is sufficiently high. The region of operation of the NMOS devices [17,18] gives the minimum allowable voltage swing at the output of each SCL gate. ,= 2 ∙
  • 5.
  • 7.
  • 8. ℎℎ ! Where
  • 9. is the subthreshold slope factor of NMOS devices. In the sub threshold region the required voltage swing can be as low as 150 mV at room temperature (assuming ). This swing in the sub threshold region depends on the sub threshold slope factor and is independent of the threshold voltage of the NMOS switching devices. A PMOS device is used as a load device when SCL gate is biased in sub threshold region as shown in FIG 1. Since all the devices are operated in sub threshold region the tail bias current can be reduced till comparable with leakage currents in the circuit. The trans conductance of the input differential pair is given as
  • 10. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 PMOS and NMOS Transistors can be combined as transmission gate for implementing analog switch which selectively allows or blocks the signal from input to output. The transistors are turned ON or OFF by applying control signals to the gates in complimentary manner and at the output a drop in signal amplitude is observed. This problem is eliminated by modifying the transmission gate by stacking transistors and the signal is passed to the output without any loss. 49 3. BODY BIASING The voltage difference between the Transistors Source and the Bulk (VSB) will effect the change in Transistor Threshold voltage VT. Since VSB effects VT the bulk can be treated as second Gate that helps to identify how the transistor turns On and OFF. Body effect refers to the change in the transistor threshold voltage (VT) resulting from a voltage difference between the transistor source and body. Because the voltage difference between the source and body affects the VT, the body can be thought of as a second gate that helps determine how the transistor turns ON and OFF. The strength of the body effect is usually quantified by the body coefficient g(gamma). The threshold voltage of MOSFET is well known as, VTh = VTh0 + gB(Ö|2fF +VSB| - (Ö|2fF| ) where VSB is the voltage between source and bulk, fF is the bulk Fermi- potential ,VTh0 is the threshold voltage when VSB=0 and g B is the body-effect coefficient. Therefore varying threshold voltage Vth can be changed by varying VSB which can form dynamic threshold voltage MOSFET (DTMOS). Normally, the source and body junction is either zero-biased or reverse-biased. Forward-body-biased MOSFETs can also be used on some circuit to improve performance with lower threshold voltage VTh [10]. This concept is utilized in designing the power analog multiplexer. The transistors are operated in strong inversion region by means of using 0.4 V forward body bias. Varieties of body biasing techniques are enabled by strong body effect and these techniques are effectively utilized in older generation. This body bias can be applied externally (external to the chip) or internally (in chip). The internal approach normally utilizes a charge pump circuit provide reverse body bias or potential divider to produce forward body bias. Reverse body bias for an n channel transistors increases the threshold voltage and makes the transistors both slow and less leakage. On the other hand forward body bias reduces the threshold voltage making the transistor fast and with more leakage. The polarities of the body bias are opposite for P channel transistor. The transmission gate with body bias is given in FIG 3.
  • 11. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 50 FIG 3: Transmission gate with dynamic body bias. 4. MULTIPLEXER DESIGN Using the concept of a shift register large number of multiplexers designed in the past. Synchronously triggered D-latches using external clock connected serially are used to build shift register. Each D-latch enables one S H circuit. The clock feed-through to the output line is the major disadvantage of this circuit. With each clock cycle glitches occur synchronously for every positive and negative clock edge. The proposed multiplexer design minimizes this problem. Instead of setting the body bias just once either during design or at production test, the Dynamic body bias changes the body bias many times when the chip is operating. The temperature and aging effects are minimized by Dynamic body bias and also the power management modes for optimizing very low power operation are effectively utilized [7], [8]. A logic ‘1’ on SEL signal at the gate of NMOS transistors will turn them ON and a complimentary SELBR connected to the gate of PMOS transistors will turn them ON and the applied signal is allowed to pass from IN to OUT. On the other hand when the SEL is at logic’0’ and its complimentary SELBR will turn all the transistors OFF thereby blocking the signal from IN to OUT. The output will be forced into a high-impedance state during the transition period from ON to OFF where the junction capacitance will be charged to few millivolts. A PMOS transistor connected as a pass transistor between the ground and the output node minimizes this problem. Whenever all the transistors are in OFF state then the PMOS transistor will be turned ON, forcing the output capacitor to discharge to ground. The widths of the transistors are maintained in a ratio of 1:2 for NMOS to PMOS. The ON resistance Ron of the switch is 1 1 Ron = = gds μCox W (VGS – VTh) L
  • 12. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 The value of the ON-resistance depends on the overdrive voltage, Vov = Vgs – Vth and on the aspect ratio, W/L through the trans conductance parameter μCox. An analog loss less multiplexer with eight channels has been designed using this modified circuit shown in FIG-3 for each channel. The channels are selected by three binary control inputs. The inhibit input is used to Enable or Disable the multiplexer. A low ON resistance of 27 ohms, high OFF resistance of 10Mohms,with very low leakage current in the order of Pico amperes were obtained with the analog transmission gate. 51 5. STSCL GATES DECODER DESIGN The logic gate designed using STSCL is AND gate and the schematic is given in the FIG 4 below. The circuit is simulated and analyzed so that nominal parameters of the STSCL can used which will produce an optimum level output for the system. FIG 4: Source coupled logic AND gate This AND gate is modified into SCL Nand gate and a 3to 8 decoder is implemented using this SCL nand gate. This is used to generate the select signals for each channel from three binary control inputs. The block diagram and the circuit diagram of the system is shown in FIG 5(a) (b).
  • 13. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 52 Fig: 5(a). block diagram of 8:1 mux with Decoder FIG 5(b) : circuit diagram of 8 channel MUX 6. RESULTS The dynamic body bias is provided by means of potential divider using poly resistors for both NMOS and PMOS devices. A capacitor of 100pf is used to reduce the leakage at the output. The Inverter and Buffer outputs of the SCL gate drive the NMOS and PMOS devices. The circuit is verified with input signals with different amplitudes and frequency. When the SCL output signal is a Logic 0 PMOS transistors are turned ON and the complementary Logic 1 is applied to
  • 14. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 NMOS transistors to turn ON which allows the signal to pass from IN to OUT. When the signal goes to Logic 1, all transistors are turned OFF blocking the input signal. During the transition period from ON to OFF the output will be forced into a high-impedance state where the junction capacitance will be charged to few millivolts. To avoid this drawback, a PMOS transistor is used between the output node and ground as a pass transistor. When all the transistors are in OFF state, the PMOS transistor turns ON forcing the output capacitor to discharge to ground. The system is simulated by applying sinusoidal input of 1μV amplitude and the frequency is varied from 1Hz onwards upto 1kHz.The total current drawn by the circuit is around 1.98 μA resulting in the total power consumption of 0.79 μW. The response of the system is shown in FIG’s 5(a),(b),(c). As the frequency of the input signal is increased the power dissipation increased. 53 FIG 6(a): Simulated output with 1μV / 1KHz sinewave FIG 6(b): Simulated output with 10μV / 1KHz sinewave
  • 15. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 54 FIG 6(c): Simulated output with 10μV / 10KHz sinewave The circuit is simulated by applying sinusoidal signals with an amplitude ranging from 1uV to maximum of 0.4V to each channel. The channels are selected by changing the input control signals of the decoder and the desired input is selected as shown in table-1 Table-1 INHIBIT INPUTS C B A OUTPUT L X X X X L H I0 L L L I0 H I1 L L H I1 H I2 L H L I2 H I3 L H H I3 H I4 H L L I4 H I5 H L H I5 H I6 H H L I6 H I7 H H H I7 The channel selection frequency is varied from DC to 10KHz. The output voltage is obtained without any distortion and the simulated results are shown in the figures. 7(a), 7(b) and 7(c).
  • 16. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 55 Fig: 7(a) simulated results with an amplitude of 1μV Fig: 7(b)simulated results with an amplitude of 1mV Fig: 7(c) simulated results with an amplitude of 100mV to 400mV
  • 17. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 56 7. CONCLUSIONS A low power wide range source coupled logic circuits operated in sub threshold region for switching analog signals is implemented in 180nm technology using CADENCE TOOLS. The required output voltage swing for proper logic operation is provided by using high resistance PMOS load devices. Transmission gate circuit was used to switch analog signals with amplitude ranging from 1μV onwards. The On and OFF resistance of the gate achieved was 27 ohms and 10M ohms respectively. The total power dissipated at a switching frequency of 10 KHz is around 0.79 μW. The STSCL circuit was used to implement 8 channel multiplexer for acquiring biomedical signals and the power dissipated was measured as 0.79 μW. The number of channels to be multiplexed can be increased further with suitable additional circuitry. ACKNOWLEDGEMENTS The authors are highly thankful to the Chairman of ANURAG GROUP OF INSTITUTIONS Dr.P.Rajeshwar Reddy for his constant encouragement and also providing all the necessary resources to carryout this work. They are also thankful to Dr. K.S.R.KRISHNA PRASAD, Professor, NIT, Warangal for his valuable suggestions during this work. REFERENCES [1] M. Horowitz et al., “Low-power digital design,” in Proc. IEEE Int.Symp. Low Power Electronics and Design (ISLPED), 1994, pp. 8–11. [2] D. Suvakovic and C. A. T. Salama, “A low Vt CMOS implantation of an LPLV digital filter core for portable audio applications,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal process., vol. 47, no. 11,pp. 1297–1300, Nov. 2000. [3] G. Gielen, “Ultra-low-power sensor networks in nanometer CMOS,” in Int. Symp. Signals, Circuits and Systems (ISSCS), Jul. 2007, vol. 1,pp. 1–2. [4] B. A.Warneke and K. S. J. Pister, “An ultra-low energy microcontroller for smart dust wireless sensor networks,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, 2004, pp. 316–317. [5] L. S. Wong et al., “A very low-power CMOS mixed-signal IC for implantable pacemaker applications,” IEEE J. Solid-State Circuits, vol.39, no. 12, pp. 2446–2456, Dec. 2004. [6] C. Enz and E. Vittoz, Charge-Based MOS Transistor Modeling: TheEKV Model for Low-Power and RF IC Design. New York: Wiley,2006. [7] C. Enz, F. Krummenacher, and E. Vittoz, “An analytical MOS transistor model valid in all regions of operation and dedicated to lowvoltage and low-current applications,” Analog Integr. Circuits Signal Process. J., vol. 8, pp. 83–114, Jun. 1995. [8] C. Enz, M. Punzenberger, and D. Python, “Low-voltage log-domain signal processing in CMOS and BiCMOS,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 46, no. 3, pp. 279–289, Mar.1999. [9] B. H. Calhoun, A. Wang, and A. Chandrakasan, “Modeling and sizing for minimum energy operation in subthreshold circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1778–1786, Sep. 2005. [10] B. H. Calhoun and A. Chandrakasan, “Ultra-dynamic voltage scaling (UDVS) using subthreshold operation and local voltage dithering,”IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 238–245, Jan. 2006. [11] R. Amirtharajah and A. Chandrakasan, “A micropower programmable DSP using approximate signal processing based on distributed arithmetic,”IEEE J. Solid-State Circuits, vol. 39, no. 2, pp. 337–347, Feb.2004. [12] H. Soeleman, K. Roy, and B. C. Paul, “Robust subthreshold logic for ultra-low-power operation,” IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 9, no. 1, pp. 90–99, Sep. 2001.
  • 18. International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.5, October 2014 [13] A. Chandrakasan and R. Brodersen, “Minimizing power consumption in digital CMOS circuits,” 57 Proc. IEEE, vol. 83, no. 4, pp. 498–523,Apr. 1995. [14] A. Chandrakasan and R. Brodersen, “Minimizing power consumption in digital CMOS circuits,” Proc. IEEE, vol. 83, no. 4, pp. 498–523,Apr. 1995. [15] Hari Priya, Dr.K.S.Rao et al “Design of ultra low power 8-channel analog multiplexer using dynamic threshold for biosignals” International Journal of VLSI design Communication Systems (VLSICS) Vol.4, No.5, October 2013. [16] Hari Priya, Dr.K.S.Rao et al “A low power front end analog multiplexing unit for 12 lead ecg signal acquisition” International Journal of VLSI design Communication Systems (VLSICS) Vol.5, No.3, June 2014. [17] P.R.Gray, P. J.Hurst, S.H. Lewis, and R.G.Meyer, Analysis and Design of Analog Integrated Circuits, Wiely, Fourth Ed., 2000 [18] C. C. Enz and E. A. Vittoz, Charge-based MOS Transistor Modeling, Wiley, 2006 AUTHORS G.Deepika obtained her B.E., M.Tech degree in ECE in the year of 2002, 2005 from CBIT, Osmania University and JNT University, Hyderabad respectively. She had 10 years of teaching experience. Presently she is an Associate Professor in RRS College of Engineering Technology, Medak District and pursuing Ph.D in JNT University, Hyderabad. P. Ramakrishna received his B. Tech, M. Tech degree in Electronics and Communication Engineering (ECE), VLSI System Design in the years 2006, 2009 from NIT Warangal, CVR College of Engineering JNT University Hyderabad respectively. He had 6 years of teaching and research experience. Presently he is an Associate Professor Anurag Group of Institutions (Autonomous) Hyderabad. His research interests include VLSI System Design, Digital Signal Processing and Image processing. Dr. K. S. Rao obtained his B. Tech, M. Tech and Ph.D. in Electronics and Instrumentation Engineering in the years 1986, 89 and 97 from KITS, REC Warangal and VRCE Nagpur respectively. He had 25 years of teaching and research experience and worked in all academic positions, presently he is the Director, Anurag Group of Institutions (Autonomous) Hyderabad. His fields of interests are Signal Processing, Neural Networks and VLSI system design.