SlideShare a Scribd company logo
1 of 5
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 543
POWER SCHEDULING ALGORITHM BASED POWER OPTIMIZATION OF
MPSOCS
Prof. S. Shanmuga Raju1, Nivetha B2, RajaDarshini N3, Ranjith M4, Sandhiya E5.
1Professor, Dept. of ECE, Dr. N. G. P. Institute of Technology, Cbe, Tamil Nadu, India.
2,3,4,5 Student, Dept. of ECE, Dr. N. G. P. Institute of Technology, Cbe, Tamil Nadu, India.
------------------------------------------------------------------------***-------------------------------------------------------------------------
ABSTRACT - Dynamic voltage frequency scaling (DVFS),
which is an efficient methodology to provide sufficient
energy for the core which needs an energy. But it is
lagging when it get implemented in the desired constraint
of an circuit. The leakage of power is reduced by C-Mos
under static conditions. Our aim is to put CAD
methodology for an efficient delivery of the power. The
choice of LDO or FIVR as power regulator is user for
power performance on On-chip processor for power
delivery units
KEYWORDS: DVFS, SCS, Decoupling capacitors, ECC,
LDO, FIVR, Holistic, SCNs, VFI, SC, Folded-Tree, Power
gating.
INTRODUCTION:
In a multi core processor, the power
consumed by each core is very less. But, the overall
power consumption of all the cores is considerably large.
So, the proper regulation is to done to provide each core
with its required power. This scaling process is called
Dynamic Voltage Frequency Scaling. There are two ways
to implement regulation, Fully Integrated Voltage
Regulator (FIVR), Low Dropout Regulator(LDO). The use
of LDO is more efficient than FIVR. Here, some of the
papers discusses the characteristics of the LDO and how
it can be implemented in the multi core processor for
regulation purposes to reduce the power consumption.
Decoupling capacitors are used to separate
c-mos circuits. Meta-insulator-metal is used at the output
of decoupling capacitors in order to have good transient
characteristics. Ceramic capacitor are used at the input
terminal. A scheme which reduces power consumption
under static conditions by multi threshold c-mos. It also
supports multiple power off-modes and reduces leakage
of power.
RELATED WORKS:
Koushik Charaborty, et al (2013) states
that DVFS is an adoptable technique used for delivering
efficient energy. In recent days this technique is
becoming inefficient because of two reasons--Based on
reliability criteria, supply voltage is not up to the scale
level and Dynamic Adaptations is not possible for high
power consuming circuits under nominal frequency.
DVFS is lagging by 22%-86%, which is in comparison
with ground up design .the paper concentrates on energy
efficient multi core systems. Using computer aided
design (CAD)identical cores are integrated in different
voltage frequency domain .the CAD architecture is
simulated ,with that we can see improvement of 11%-
22%.
Tasreen Charania, et al(2013) state’s that
there is still noise factors due to power supply, which
remains a challenge in CMOS technology decoupling
capacitors (Decaps)which is ON-chip are used for
suppression of noise that is in association with area and
leakage costs .there are various methods to implement
Decaps ON-chip but those are not applicable for the
given constraints. This paper discusses various Decaps
implementation --MOS based Decaps, MIM(Metal
insulator Metal)Decaps and Multilayer metal Decaps. It
exists in post layout this must be implemented in CMOS
technology-65nm.based on area, location, leakage
Decaps or designed. To boost the efficiency by25% n-
MOS Decaps are used.
Jen-Wei Lee, et al(2014) states that Elliptic
Curve cryptography [ECC] is portable with high demand
to transfer information over wireless channels. It is a
high complex technique but it can be overcome with
hardware architecture for sufficient ECC performance.
During power analysis to the circuit the private key is
revealed from cryptography ICs. Information leakage is
happen through side channel. Power analysis can be
done by either hetero type dual processor architecture
are priority oriented scheduling. Memory hierarchy is
built for dual field with local memory to improve the
bandwidth. It improves not only the hardware efficiency
also protect the power analysis attack.
Cedric Walravens, et al(2014) claims out
in wireless sensor networks radio communication
consumes more power. In order to communicate data on
node the energy from the battery is limited. Off the shell
low power microcontrollers were designed but low
power consumption is achieved only when more
processing elements are used. A folded tree
architecture is proposed in wireless network by parallel
prefix and data locality. By implementing this on silicon
and compare with microcontroller, it is found to be
10-20X the energy is improved.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 544
Zhaobo Zhang, et al(2014) estimates
under static conditions the leakage of power is reduced
by multi threshold C-Mos .A scheme is already been
proposed to support multiple power off modes and to
reduce leakage of power in static modes. It lags with high
sensitivity to radiations and therefore in manufacturing.
A new power gating technique is proposed to tolerate
the sensitivity to changes and can be scalable between
the two intermediate power Off modes. The outcomes
are less design effort , high power reduction, smaller
area than previous method. This method is combine
exciting methodology to offer more static power
reduction.
Hamid Reza Ghasemi, et al(2014) explains
that for a particular power the per core voltage domain
performance can be improved. All processors cores has
single voltage domain. Because of splitting based on core
and powering with multiple Off chip for high cost
platform. On chip voltage regulators are used as
alternate solutions, high quality inductor are integrated
which is a challenging task. Cost effect of the device plays
a major concern. This methodology says core to core
voltage variation are small and per core feedback control
is used which is cost efficient. Core to core is used to
increase the efficiency of voltage regulation.
Chung-Hsun Huang, et al(2014)
experimented that an LDO reduces 1V of input to the
0.85-0.5V in 90nm c-mos technology. Trans conductance
amplifier is used as error amplifier [EA], the current
splitting technique of EA is used to improve the gain.
Also it increases closed loop bandwidth of LDO. In Rail-
to-Rail output of EA, minimizing the size of mos
transistor the power noise is cancelled. EA path can be
reused for designing transient accelerator. Due to all this
advantages LDO can operate in wider range with current
efficiency of 99.94%, 28mv output for 0-100 mA low
transient. The area of LDO is 0.0041mm2, for compact
design.
Pingqiang Zhou, et al(2014) proposed that
an Switched capacitor is integrated on-chip to support
multi core power delivery of DVFS. Various levels of
voltage supply are provided by DC-DC converter's which
is capable of multiple conversion ratios. With the
distribution result the voltage drop is seems to be
reduced and also for better power regulation. Current
distribution is unbalanced so CAD system is used to
automate the design and distribution. Power loss models
were developed as a function of size and distribution.
After this an approach was introduced to optimize the SC
converter's to increase the efficiency of the system. The
optimized result is demonstrated on homogeneous and
heterogeneous multi core chips.
Michael Leaders, et al(2014) proposed the
concept called holistic power saving is used in ultra low
power microcontroller systems. This involves
application requirement, system architecture, circuit
design technology. LDO is enhanced by making it
digitized, by supplying the MCU. With this technology
LDO can operate to a maximum of 256mA and to the
quiescent current of 659nA. Power consumption is
reduced drastically and current saving is 31%, when it
operates at low clock speeds of 1MHz. Simultaneously
output capacitor is used with low power consumption
during sleep mode and energy is efficient in wake-up
condition. Therefore the performance is improved by the
factor of 4.6.
Ruzica Jevtic, et al(2015) studies that SC
converter's, Dc-Dc converter's offers only limited
conversion of efficiency under traditional
implementation. DVFS with SC improves converter
efficiency. This allowing output to ripple and processor
core frequency is used to track the ripple. Differential
modes of converter's and biasing are followed to achieve
minimum core energy. I'm the model of 28nm
technology with efficiency of 90% and 25%
improvement is achieved in the overall chip efficiency.
Ashis Maity, et al(2016) states that in
embedded application, the single stage regulator
topologies are used for low power consumption. It has
unidirectional behavior and frequency compensation.
For single stage the DC load power is poor because of
low differential again, over a wide range. LDO is used to
achieve the DC load power regulation. Thus can also be
applicable for multistage. In order to achieve this
adaptive biasing is modified and this amplifies common
mode and differential mode. On-chip and off-chip
conditions are included for making output capacitance
constant. It delivers 100mA load current, DC load
regulation is 0.140mV per MA and it is stable with a
Co<=3.3nf, on-chip and Co>= 1micro farad, off-chip.
Ryan Gary kim, et al(2017) proposed that
Power and thermal constraints dominate the high
performance chip. But it have been influenced in
computing and data analysis. An efficient energy is
carried by Voltage Frequency Island [VFI]. Energy can be
saved by dynamically performing VFI. Dynamic VFI
based on Immediate Learning [IL] control system core.
Because IL is successive over Reinforced Learning [RL].
RL is a strong base in an EDA community. So it ensures
that IL is well efficient and higher than RL. It also has of
less computation time along with larger area which have
been defined as 3.1X and 8.8X respectively.
Natan Krihely, et al(2013) states that in
order to keep high efficiency in load voltage down to
200mV a method of binary resolution is implemented in
Switched Capacitor Converter [SCC]. To make SCC more
efficient it have been presented with digital system along
with 1.1v battery. The sub threshold values can be
obtained around 0.18-0.6v based on the configuration of
a converter which is 40nm of C-Mos and constant low
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 545
power. Simulation have been performed and obtained an
efficiency of 10-11% with 200mV and it also has been
compared with anther aspects. From which we infer that
an SCC can be implemented with multi-topology to
increase effectiveness and preserver over flow of
voltages in circuits.
Tongda WU, et al(2017) states that source
which is unstable and limited is solar energy. Its
respective sensor lags due to higher values in Deadline
Miss Ratio [DMR]. The methodology of Solar-Powered
Sensor Nodes [SPSNs] with storage of energy have
greater potential in IOTs. To achieve DMR value to
higher in dual channel, scheduling has to be made in
single queue not in long term task. In order to reduce
long term a scheduling of 3 level based DVFS should be
framed. It also has an approach of Day-level scheduler
by coarse grained task, Neural networks by priority of
task, DVFS based algorithm by slot level execution
Seyed Alireza Zahrai, et al(2017) proposed
that for a low power application and high speed device,
an 8-bit 1-GS/s of hybrid ADC is framed. It has of two
stages. First stage is of 3-bit ADC flash and second stage
consists of 5-bit four channel time interleaved
comparator which is completely based on asynchronous
binary search. In every channel a sampling is performed
by merging sample and hold along with capacitive DAC.
The process over parasitic capacitance is analysed and
alternate method is introduced which enable high speed
power efficient. The sampling network introduce of
error reduction to alleviate an feedback of bootstrap. In
an extra channel of flash ADC comparators offset is
calibrate with reference signal. By undergoing with
simulation, it infer of 1-GS/s of 130nm C-Mos and ADC
has an greater efficiency than 7.37 bits up to Nyquist
frequency when there is an input of 13.3mW and supply
of 1.2v.
CONCLUSION:
A detailed description of the regulating
technology, DVFS and its characteristics is presented in
this paper. DVFS was initially lagging in energy , it was
later rectified. Noise suppression was done using DVFS.
FIVR and LDO are the most important regulating
schemes used under DVFS. Most of the papers have
conveyed LDO seems to be inefficient than FIVR.
Switched capacitors, power gating technology, holistic
power saving, folded tree method in CAD and SCNS are
discussed. So, it is shown that FIVR suits perfect for
optimizing a multi core processor in power. If the FIVR is
perfectly implemented on chip, the power is optimised to
the desired level, for the core which is currently in
working.
COMPARISON:
S.No Technology Description Year
1. Synopsis Design Compiler DVFS was found to be lagging by 22% to 86% in multi systems.
Using CAD, simulated result provides the improvement of 11%
to 22%
2013
2. Decaps in 65 nm C-Mos
technology.
Decaps were used to suppress the noise which has raised due to
the power.
2013
3. SCC 1.1V of battery system In order to preserve high efficiency at load conditions, binary
resolution is implemented.
2013
4. Heterogeneous dual PE
and ECSM processing
techniques .
Power analysis is done using two methodologies. Hardware
efficiency along with protection of power analysis attacking was
improved
2014
5. Multi- threshold C-mos of
power gating
It is used for handling the sensitivity of variations in the circuit. 2014
6. Folded tree architecture in
wireless network.
It was designed by parallel prefix and data locality implementing
on Si.
2014
7. LDO of 90nm on C-mos
technology.
Gain is increased by closed loop which is called rail – to – rail
output of error amplifier.
2014
8. DVFS along with SC and
model of power loss by
CSD methodology.
With the simulated result of SC converter voltage drop is
reduced and power regulation is better.
2014
9. Application based holistic
power for ultra MCU.
The performance of LDO is improved by making it digitized.
Current saving is around 31% and performance is improved by
the factor 4.6
2014
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 546
10. Power technique for core
to core and per core.
High- Quality inductor is integrated on-chip for high
performance. Two techniques are used for its cost effectiveness.
2014
11. Switched capacitor with
dc-dc converter on c-mos
processor.
DVFS with SC improves efficiency. Different modes of converter
and biasing are used to achieve the minimum core energy.
2015
12. Single-stage biased LDO. LDO is used to achieve the dc load power regulation. Also
applicable for multi – stage applications.
2016
13. IL and RL for DVFI
systems.
IL based technique is proposed to control the energy many
cores. IL is more reliable than RL.
2017
14. 3 level DVFS for dual
channel SCNS.
Scheduling strategy is used to reduce long term DMR. 2017
15. Hybrid ADC 8- bit. Design approach reduces the sampling capacitance and allows
error detection techniques.
2017
REFERENCES:
1. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4,
APRIL 2013. Architecturally Homogeneous
Power-Performance Heterogeneous Multicore
Systems. Koushik Chakraborty and Sanghamitra
Roy, Member, IEEE.
2. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4,
APRIL 2013. Analysis and Design of On-Chip
Decoupling Capacitors Tasreen Charania, Senior
Member, IEEE, Ajoy Opal, Member, IEEE, and
Manoj Sachdev, Fellow, IEEE.
3. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 1,
JANUARY 2014 49. Efficient Power-Analysis-
Resistant Dual-Field Elliptic Curve
Cryptographic Processor Using Heterogeneous
Dual-Processing-Element Architecture Jen-Wei
Lee, Student Member, IEEE, Szu-Chi Chung,
Student Member, IEEE, Hsie-Chia Chang,
Member, IEEE, and Chen-Yi Lee, Member, IEEE.
4. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 2,
FEBRUARY 2014 313. Low-Power Digital Signal
Processor Architecture for Wireless Sensor
Nodes Cedric Walravens, Member, IEEE, and
Wim Dehaene, Senior Member, IEEE.
5. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 1,
JANUARY 2014 13. Static Power Reduction
Using Variation-Tolerant and Reconfigurable
Multi-Mode Power Switches Zhaobo Zhang,
Student Member, IEEE, Xrysovalantis
Kavousianos, Member, IEEE, Krishnendu
Chakrabarty, Fellow, IEEE, and Yiorgos
Tsiatouhas, Member, IEEE.
6. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 4,
APRIL 2014 747. Low-Cost Per-Core Voltage
Domain Support for Power-Constrained High-
Performance Processors Abhishek A. Sinkar,
Hamid Reza Ghasemi, Michael J. Schulte, Ulya R.
Karpuzcu, and Nam Sung Kim, Senior Member,
IEEE.
7. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 6,
JUNE 2014. Design of a Low-Voltage Low-
Dropout Regulator Chung-Hsun Huang, Member,
IEEE, Ying-Ting Ma, and Wei-Chen Liao.
8. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 9,
SEPTEMBER 2014. Distributed On-Chip
Switched-Capacitor DC–DC Converters
Supporting DVFS in Multicore Systems
Pingqiang Zhou, Ayan Paul, Chris H. Kim, Senior
Member, IEEE, and Sachin S. Sapatnekar, Fellow,
IEEE.
9. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 12,
DECEMBER 2013 2353. Efficiency Optimization
of a Step-Down Switched Capacitor Converter
for Sub threshold Applications Natan Krihely,
Sam Ben-Yaakov, and Alexander Fish.
10. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11,
NOVEMBER 2014 2287. Architectural and
Circuit Design Techniques for Power
Management of Ultra-Low-Power MCU Systems
Michael Lueders, Bjoern Eversmann, Johannes
Gerber, Korbinian Huber, Ruediger Kuhn,
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 547
Michael Zwerg, Doris Schmitt-Landsiedel, and
Ralf Brederlow, Senior Member, IEEE.
11. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 4,
APRIL 2015 723. Per-Core DVFS With Switched-
Capacitor Converters for Energy Efficiency in
Manycore Processors Ruzica Jevti´c, Member,
IEEE, Hanh-Phuc Le, Member, IEEE, Milovan
Blagojevi´c, Member, IEEE, Stevo Bailey, Student
Member, IEEE, Krste Asanovi´c, Fellow, IEEE,
Elad Alon, Senior Member, IEEE, and Borivoje
Nikoli´c, Senior Member, IEEE
12. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 6,
JUNE 2016 2117. A Single-Stage Low-Dropout
Regulator With a Wide Dynamic Range for
Generic Applications Ashis Maity, Student
Member, IEEE, and Amit Patra, Member, IEEE.
13. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9,
SEPTEMBER 2017. Imitation Learning for
Dynamic VFI Control in Large-Scale Manycore
Systems Ryan Gary Kim, Member, IEEE, Wonje
Choi, Student Member, IEEE, Zhuo Chen,
Janardhan Rao Doppa, Member, IEEE, Partha
Pratim Pande, Senior Member, IEEE, Diana
Marculescu, Fellow, IEEE, and Radu Marculescu,
Fellow, IEEE.
14. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 11,
NOVEMBER 2017. A Low-Power High-Speed
Hybrid ADC With Merged Sample-and-Hold and
DAC Functions for Efficient Subranging,Time-
Interleaved Operation Seyed Ali reza Zahrai,
Student Member, IEEE, Marina Zlochisti, Nicolas
Le Dortz, Member, IEEE, and Marvin Onabajo,
Senior Member, IEEE.
15. IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 11,
NOVEMBER 2017. DVFS-Based Long-Term Task
Scheduling for Dual-Channel Solar-Powered
Sensor Nodes Tongda Wu, Yongpan Liu, Senior
Member, IEEE, Daming Zhang, Jinyang Li, Xiaobo
Sharon Hu, Fellow, IEEE, Chun Jason Xue, Senior
Member, IEEE, and Huazhong Yang, Senior
Member, IEEE.

More Related Content

What's hot

Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...IJPEDS-IAES
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
 
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...IJECEIAES
 
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...IDES Editor
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFIJERA Editor
 
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...IRJET Journal
 
Study on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistorStudy on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistorConference Papers
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...ijasuc
 
55tmtt05-pfeiffer-proof
55tmtt05-pfeiffer-proof55tmtt05-pfeiffer-proof
55tmtt05-pfeiffer-proofDavid Goren
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...VLSICS Design
 
IRJET- Optimization of a Power Splitting Protocol for Two-Way Multiple En...
IRJET-  	  Optimization of a Power Splitting Protocol for Two-Way Multiple En...IRJET-  	  Optimization of a Power Splitting Protocol for Two-Way Multiple En...
IRJET- Optimization of a Power Splitting Protocol for Two-Way Multiple En...IRJET Journal
 

What's hot (20)

Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
 
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
Sprabj7
Sprabj7Sprabj7
Sprabj7
 
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
 
A04110106
A04110106A04110106
A04110106
 
Resume- EE
Resume- EEResume- EE
Resume- EE
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERF
 
P358387
P358387P358387
P358387
 
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...
IRJET- Power Quality Improvement by using Three Phase Adaptive Filter Control...
 
Design and Analysis of Capacitive Power Transfer System with and without the ...
Design and Analysis of Capacitive Power Transfer System with and without the ...Design and Analysis of Capacitive Power Transfer System with and without the ...
Design and Analysis of Capacitive Power Transfer System with and without the ...
 
Study on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistorStudy on performance of capacitor less ldo with different types of resistor
Study on performance of capacitor less ldo with different types of resistor
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...
 
55tmtt05-pfeiffer-proof
55tmtt05-pfeiffer-proof55tmtt05-pfeiffer-proof
55tmtt05-pfeiffer-proof
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...
 
IRJET- Optimization of a Power Splitting Protocol for Two-Way Multiple En...
IRJET-  	  Optimization of a Power Splitting Protocol for Two-Way Multiple En...IRJET-  	  Optimization of a Power Splitting Protocol for Two-Way Multiple En...
IRJET- Optimization of a Power Splitting Protocol for Two-Way Multiple En...
 

Similar to IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs

Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...
Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...
Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...IRJET Journal
 
A new simplified approach for optimum allocation of a distributed generation
A new simplified approach for optimum allocation of a distributed generationA new simplified approach for optimum allocation of a distributed generation
A new simplified approach for optimum allocation of a distributed generationIAEME Publication
 
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...IRJET Journal
 
Nexgen tech vlsi 2015 2014
Nexgen  tech vlsi 2015 2014Nexgen  tech vlsi 2015 2014
Nexgen tech vlsi 2015 2014nexgentech
 
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
 BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I... BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...Nexgen Technology
 
Ieee 2015 2014 nexgen tech vlsi abstract
Ieee 2015 2014 nexgen  tech vlsi   abstractIeee 2015 2014 nexgen  tech vlsi   abstract
Ieee 2015 2014 nexgen tech vlsi abstractNexgen Technology
 
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...Nexgen Technology
 
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATION
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATIONPERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATION
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATIONIRJET Journal
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFIJERA Editor
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...IRJET Journal
 
IRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET Journal
 
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac Drive
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac DriveDesign and Implementation of an Efficient Soft Switching Inverter Fed Ac Drive
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac DriveIRJET Journal
 
Optimum reactive power compensation for distribution system using dolphin alg...
Optimum reactive power compensation for distribution system using dolphin alg...Optimum reactive power compensation for distribution system using dolphin alg...
Optimum reactive power compensation for distribution system using dolphin alg...IJECEIAES
 
Simultaneous network reconfiguration and capacitor allocations using a novel ...
Simultaneous network reconfiguration and capacitor allocations using a novel ...Simultaneous network reconfiguration and capacitor allocations using a novel ...
Simultaneous network reconfiguration and capacitor allocations using a novel ...IJECEIAES
 
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...IJECEIAES
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 

Similar to IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs (20)

Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...
Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...
Reconfiguration and Capacitor Placement in Najaf Distribution Networks Sector...
 
A new simplified approach for optimum allocation of a distributed generation
A new simplified approach for optimum allocation of a distributed generationA new simplified approach for optimum allocation of a distributed generation
A new simplified approach for optimum allocation of a distributed generation
 
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...
A Review of Analysis and Modeling of Grid Connected Three Phase Multilevel Un...
 
Nexgen tech vlsi 2015 2014
Nexgen  tech vlsi 2015 2014Nexgen  tech vlsi 2015 2014
Nexgen tech vlsi 2015 2014
 
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
 BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I... BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
 
Ieee 2015 2014 nexgen tech vlsi abstract
Ieee 2015 2014 nexgen  tech vlsi   abstractIeee 2015 2014 nexgen  tech vlsi   abstract
Ieee 2015 2014 nexgen tech vlsi abstract
 
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS IN...
 
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATION
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATIONPERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATION
PERFORMANCE EXPLORATION OF SINGLE PHASE DAB DC-DC CONVERTER UNDER LOAD VARIATION
 
Ijetcas14 562
Ijetcas14 562Ijetcas14 562
Ijetcas14 562
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERF
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
 
IRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow Controller
 
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac Drive
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac DriveDesign and Implementation of an Efficient Soft Switching Inverter Fed Ac Drive
Design and Implementation of an Efficient Soft Switching Inverter Fed Ac Drive
 
Optimum reactive power compensation for distribution system using dolphin alg...
Optimum reactive power compensation for distribution system using dolphin alg...Optimum reactive power compensation for distribution system using dolphin alg...
Optimum reactive power compensation for distribution system using dolphin alg...
 
Simultaneous network reconfiguration and capacitor allocations using a novel ...
Simultaneous network reconfiguration and capacitor allocations using a novel ...Simultaneous network reconfiguration and capacitor allocations using a novel ...
Simultaneous network reconfiguration and capacitor allocations using a novel ...
 
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...Chandu841456
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 

Recently uploaded (20)

Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 

IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 543 POWER SCHEDULING ALGORITHM BASED POWER OPTIMIZATION OF MPSOCS Prof. S. Shanmuga Raju1, Nivetha B2, RajaDarshini N3, Ranjith M4, Sandhiya E5. 1Professor, Dept. of ECE, Dr. N. G. P. Institute of Technology, Cbe, Tamil Nadu, India. 2,3,4,5 Student, Dept. of ECE, Dr. N. G. P. Institute of Technology, Cbe, Tamil Nadu, India. ------------------------------------------------------------------------***------------------------------------------------------------------------- ABSTRACT - Dynamic voltage frequency scaling (DVFS), which is an efficient methodology to provide sufficient energy for the core which needs an energy. But it is lagging when it get implemented in the desired constraint of an circuit. The leakage of power is reduced by C-Mos under static conditions. Our aim is to put CAD methodology for an efficient delivery of the power. The choice of LDO or FIVR as power regulator is user for power performance on On-chip processor for power delivery units KEYWORDS: DVFS, SCS, Decoupling capacitors, ECC, LDO, FIVR, Holistic, SCNs, VFI, SC, Folded-Tree, Power gating. INTRODUCTION: In a multi core processor, the power consumed by each core is very less. But, the overall power consumption of all the cores is considerably large. So, the proper regulation is to done to provide each core with its required power. This scaling process is called Dynamic Voltage Frequency Scaling. There are two ways to implement regulation, Fully Integrated Voltage Regulator (FIVR), Low Dropout Regulator(LDO). The use of LDO is more efficient than FIVR. Here, some of the papers discusses the characteristics of the LDO and how it can be implemented in the multi core processor for regulation purposes to reduce the power consumption. Decoupling capacitors are used to separate c-mos circuits. Meta-insulator-metal is used at the output of decoupling capacitors in order to have good transient characteristics. Ceramic capacitor are used at the input terminal. A scheme which reduces power consumption under static conditions by multi threshold c-mos. It also supports multiple power off-modes and reduces leakage of power. RELATED WORKS: Koushik Charaborty, et al (2013) states that DVFS is an adoptable technique used for delivering efficient energy. In recent days this technique is becoming inefficient because of two reasons--Based on reliability criteria, supply voltage is not up to the scale level and Dynamic Adaptations is not possible for high power consuming circuits under nominal frequency. DVFS is lagging by 22%-86%, which is in comparison with ground up design .the paper concentrates on energy efficient multi core systems. Using computer aided design (CAD)identical cores are integrated in different voltage frequency domain .the CAD architecture is simulated ,with that we can see improvement of 11%- 22%. Tasreen Charania, et al(2013) state’s that there is still noise factors due to power supply, which remains a challenge in CMOS technology decoupling capacitors (Decaps)which is ON-chip are used for suppression of noise that is in association with area and leakage costs .there are various methods to implement Decaps ON-chip but those are not applicable for the given constraints. This paper discusses various Decaps implementation --MOS based Decaps, MIM(Metal insulator Metal)Decaps and Multilayer metal Decaps. It exists in post layout this must be implemented in CMOS technology-65nm.based on area, location, leakage Decaps or designed. To boost the efficiency by25% n- MOS Decaps are used. Jen-Wei Lee, et al(2014) states that Elliptic Curve cryptography [ECC] is portable with high demand to transfer information over wireless channels. It is a high complex technique but it can be overcome with hardware architecture for sufficient ECC performance. During power analysis to the circuit the private key is revealed from cryptography ICs. Information leakage is happen through side channel. Power analysis can be done by either hetero type dual processor architecture are priority oriented scheduling. Memory hierarchy is built for dual field with local memory to improve the bandwidth. It improves not only the hardware efficiency also protect the power analysis attack. Cedric Walravens, et al(2014) claims out in wireless sensor networks radio communication consumes more power. In order to communicate data on node the energy from the battery is limited. Off the shell low power microcontrollers were designed but low power consumption is achieved only when more processing elements are used. A folded tree architecture is proposed in wireless network by parallel prefix and data locality. By implementing this on silicon and compare with microcontroller, it is found to be 10-20X the energy is improved.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 544 Zhaobo Zhang, et al(2014) estimates under static conditions the leakage of power is reduced by multi threshold C-Mos .A scheme is already been proposed to support multiple power off modes and to reduce leakage of power in static modes. It lags with high sensitivity to radiations and therefore in manufacturing. A new power gating technique is proposed to tolerate the sensitivity to changes and can be scalable between the two intermediate power Off modes. The outcomes are less design effort , high power reduction, smaller area than previous method. This method is combine exciting methodology to offer more static power reduction. Hamid Reza Ghasemi, et al(2014) explains that for a particular power the per core voltage domain performance can be improved. All processors cores has single voltage domain. Because of splitting based on core and powering with multiple Off chip for high cost platform. On chip voltage regulators are used as alternate solutions, high quality inductor are integrated which is a challenging task. Cost effect of the device plays a major concern. This methodology says core to core voltage variation are small and per core feedback control is used which is cost efficient. Core to core is used to increase the efficiency of voltage regulation. Chung-Hsun Huang, et al(2014) experimented that an LDO reduces 1V of input to the 0.85-0.5V in 90nm c-mos technology. Trans conductance amplifier is used as error amplifier [EA], the current splitting technique of EA is used to improve the gain. Also it increases closed loop bandwidth of LDO. In Rail- to-Rail output of EA, minimizing the size of mos transistor the power noise is cancelled. EA path can be reused for designing transient accelerator. Due to all this advantages LDO can operate in wider range with current efficiency of 99.94%, 28mv output for 0-100 mA low transient. The area of LDO is 0.0041mm2, for compact design. Pingqiang Zhou, et al(2014) proposed that an Switched capacitor is integrated on-chip to support multi core power delivery of DVFS. Various levels of voltage supply are provided by DC-DC converter's which is capable of multiple conversion ratios. With the distribution result the voltage drop is seems to be reduced and also for better power regulation. Current distribution is unbalanced so CAD system is used to automate the design and distribution. Power loss models were developed as a function of size and distribution. After this an approach was introduced to optimize the SC converter's to increase the efficiency of the system. The optimized result is demonstrated on homogeneous and heterogeneous multi core chips. Michael Leaders, et al(2014) proposed the concept called holistic power saving is used in ultra low power microcontroller systems. This involves application requirement, system architecture, circuit design technology. LDO is enhanced by making it digitized, by supplying the MCU. With this technology LDO can operate to a maximum of 256mA and to the quiescent current of 659nA. Power consumption is reduced drastically and current saving is 31%, when it operates at low clock speeds of 1MHz. Simultaneously output capacitor is used with low power consumption during sleep mode and energy is efficient in wake-up condition. Therefore the performance is improved by the factor of 4.6. Ruzica Jevtic, et al(2015) studies that SC converter's, Dc-Dc converter's offers only limited conversion of efficiency under traditional implementation. DVFS with SC improves converter efficiency. This allowing output to ripple and processor core frequency is used to track the ripple. Differential modes of converter's and biasing are followed to achieve minimum core energy. I'm the model of 28nm technology with efficiency of 90% and 25% improvement is achieved in the overall chip efficiency. Ashis Maity, et al(2016) states that in embedded application, the single stage regulator topologies are used for low power consumption. It has unidirectional behavior and frequency compensation. For single stage the DC load power is poor because of low differential again, over a wide range. LDO is used to achieve the DC load power regulation. Thus can also be applicable for multistage. In order to achieve this adaptive biasing is modified and this amplifies common mode and differential mode. On-chip and off-chip conditions are included for making output capacitance constant. It delivers 100mA load current, DC load regulation is 0.140mV per MA and it is stable with a Co<=3.3nf, on-chip and Co>= 1micro farad, off-chip. Ryan Gary kim, et al(2017) proposed that Power and thermal constraints dominate the high performance chip. But it have been influenced in computing and data analysis. An efficient energy is carried by Voltage Frequency Island [VFI]. Energy can be saved by dynamically performing VFI. Dynamic VFI based on Immediate Learning [IL] control system core. Because IL is successive over Reinforced Learning [RL]. RL is a strong base in an EDA community. So it ensures that IL is well efficient and higher than RL. It also has of less computation time along with larger area which have been defined as 3.1X and 8.8X respectively. Natan Krihely, et al(2013) states that in order to keep high efficiency in load voltage down to 200mV a method of binary resolution is implemented in Switched Capacitor Converter [SCC]. To make SCC more efficient it have been presented with digital system along with 1.1v battery. The sub threshold values can be obtained around 0.18-0.6v based on the configuration of a converter which is 40nm of C-Mos and constant low
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 545 power. Simulation have been performed and obtained an efficiency of 10-11% with 200mV and it also has been compared with anther aspects. From which we infer that an SCC can be implemented with multi-topology to increase effectiveness and preserver over flow of voltages in circuits. Tongda WU, et al(2017) states that source which is unstable and limited is solar energy. Its respective sensor lags due to higher values in Deadline Miss Ratio [DMR]. The methodology of Solar-Powered Sensor Nodes [SPSNs] with storage of energy have greater potential in IOTs. To achieve DMR value to higher in dual channel, scheduling has to be made in single queue not in long term task. In order to reduce long term a scheduling of 3 level based DVFS should be framed. It also has an approach of Day-level scheduler by coarse grained task, Neural networks by priority of task, DVFS based algorithm by slot level execution Seyed Alireza Zahrai, et al(2017) proposed that for a low power application and high speed device, an 8-bit 1-GS/s of hybrid ADC is framed. It has of two stages. First stage is of 3-bit ADC flash and second stage consists of 5-bit four channel time interleaved comparator which is completely based on asynchronous binary search. In every channel a sampling is performed by merging sample and hold along with capacitive DAC. The process over parasitic capacitance is analysed and alternate method is introduced which enable high speed power efficient. The sampling network introduce of error reduction to alleviate an feedback of bootstrap. In an extra channel of flash ADC comparators offset is calibrate with reference signal. By undergoing with simulation, it infer of 1-GS/s of 130nm C-Mos and ADC has an greater efficiency than 7.37 bits up to Nyquist frequency when there is an input of 13.3mW and supply of 1.2v. CONCLUSION: A detailed description of the regulating technology, DVFS and its characteristics is presented in this paper. DVFS was initially lagging in energy , it was later rectified. Noise suppression was done using DVFS. FIVR and LDO are the most important regulating schemes used under DVFS. Most of the papers have conveyed LDO seems to be inefficient than FIVR. Switched capacitors, power gating technology, holistic power saving, folded tree method in CAD and SCNS are discussed. So, it is shown that FIVR suits perfect for optimizing a multi core processor in power. If the FIVR is perfectly implemented on chip, the power is optimised to the desired level, for the core which is currently in working. COMPARISON: S.No Technology Description Year 1. Synopsis Design Compiler DVFS was found to be lagging by 22% to 86% in multi systems. Using CAD, simulated result provides the improvement of 11% to 22% 2013 2. Decaps in 65 nm C-Mos technology. Decaps were used to suppress the noise which has raised due to the power. 2013 3. SCC 1.1V of battery system In order to preserve high efficiency at load conditions, binary resolution is implemented. 2013 4. Heterogeneous dual PE and ECSM processing techniques . Power analysis is done using two methodologies. Hardware efficiency along with protection of power analysis attacking was improved 2014 5. Multi- threshold C-mos of power gating It is used for handling the sensitivity of variations in the circuit. 2014 6. Folded tree architecture in wireless network. It was designed by parallel prefix and data locality implementing on Si. 2014 7. LDO of 90nm on C-mos technology. Gain is increased by closed loop which is called rail – to – rail output of error amplifier. 2014 8. DVFS along with SC and model of power loss by CSD methodology. With the simulated result of SC converter voltage drop is reduced and power regulation is better. 2014 9. Application based holistic power for ultra MCU. The performance of LDO is improved by making it digitized. Current saving is around 31% and performance is improved by the factor 4.6 2014
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 546 10. Power technique for core to core and per core. High- Quality inductor is integrated on-chip for high performance. Two techniques are used for its cost effectiveness. 2014 11. Switched capacitor with dc-dc converter on c-mos processor. DVFS with SC improves efficiency. Different modes of converter and biasing are used to achieve the minimum core energy. 2015 12. Single-stage biased LDO. LDO is used to achieve the dc load power regulation. Also applicable for multi – stage applications. 2016 13. IL and RL for DVFI systems. IL based technique is proposed to control the energy many cores. IL is more reliable than RL. 2017 14. 3 level DVFS for dual channel SCNS. Scheduling strategy is used to reduce long term DMR. 2017 15. Hybrid ADC 8- bit. Design approach reduces the sampling capacitance and allows error detection techniques. 2017 REFERENCES: 1. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4, APRIL 2013. Architecturally Homogeneous Power-Performance Heterogeneous Multicore Systems. Koushik Chakraborty and Sanghamitra Roy, Member, IEEE. 2. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 4, APRIL 2013. Analysis and Design of On-Chip Decoupling Capacitors Tasreen Charania, Senior Member, IEEE, Ajoy Opal, Member, IEEE, and Manoj Sachdev, Fellow, IEEE. 3. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 1, JANUARY 2014 49. Efficient Power-Analysis- Resistant Dual-Field Elliptic Curve Cryptographic Processor Using Heterogeneous Dual-Processing-Element Architecture Jen-Wei Lee, Student Member, IEEE, Szu-Chi Chung, Student Member, IEEE, Hsie-Chia Chang, Member, IEEE, and Chen-Yi Lee, Member, IEEE. 4. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 2, FEBRUARY 2014 313. Low-Power Digital Signal Processor Architecture for Wireless Sensor Nodes Cedric Walravens, Member, IEEE, and Wim Dehaene, Senior Member, IEEE. 5. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 1, JANUARY 2014 13. Static Power Reduction Using Variation-Tolerant and Reconfigurable Multi-Mode Power Switches Zhaobo Zhang, Student Member, IEEE, Xrysovalantis Kavousianos, Member, IEEE, Krishnendu Chakrabarty, Fellow, IEEE, and Yiorgos Tsiatouhas, Member, IEEE. 6. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 4, APRIL 2014 747. Low-Cost Per-Core Voltage Domain Support for Power-Constrained High- Performance Processors Abhishek A. Sinkar, Hamid Reza Ghasemi, Michael J. Schulte, Ulya R. Karpuzcu, and Nam Sung Kim, Senior Member, IEEE. 7. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 6, JUNE 2014. Design of a Low-Voltage Low- Dropout Regulator Chung-Hsun Huang, Member, IEEE, Ying-Ting Ma, and Wei-Chen Liao. 8. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 9, SEPTEMBER 2014. Distributed On-Chip Switched-Capacitor DC–DC Converters Supporting DVFS in Multicore Systems Pingqiang Zhou, Ayan Paul, Chris H. Kim, Senior Member, IEEE, and Sachin S. Sapatnekar, Fellow, IEEE. 9. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 12, DECEMBER 2013 2353. Efficiency Optimization of a Step-Down Switched Capacitor Converter for Sub threshold Applications Natan Krihely, Sam Ben-Yaakov, and Alexander Fish. 10. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 11, NOVEMBER 2014 2287. Architectural and Circuit Design Techniques for Power Management of Ultra-Low-Power MCU Systems Michael Lueders, Bjoern Eversmann, Johannes Gerber, Korbinian Huber, Ruediger Kuhn,
  • 5. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 03 | Mar-2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 6.171 | ISO 9001:2008 Certified Journal | Page 547 Michael Zwerg, Doris Schmitt-Landsiedel, and Ralf Brederlow, Senior Member, IEEE. 11. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 4, APRIL 2015 723. Per-Core DVFS With Switched- Capacitor Converters for Energy Efficiency in Manycore Processors Ruzica Jevti´c, Member, IEEE, Hanh-Phuc Le, Member, IEEE, Milovan Blagojevi´c, Member, IEEE, Stevo Bailey, Student Member, IEEE, Krste Asanovi´c, Fellow, IEEE, Elad Alon, Senior Member, IEEE, and Borivoje Nikoli´c, Senior Member, IEEE 12. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 6, JUNE 2016 2117. A Single-Stage Low-Dropout Regulator With a Wide Dynamic Range for Generic Applications Ashis Maity, Student Member, IEEE, and Amit Patra, Member, IEEE. 13. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017. Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems Ryan Gary Kim, Member, IEEE, Wonje Choi, Student Member, IEEE, Zhuo Chen, Janardhan Rao Doppa, Member, IEEE, Partha Pratim Pande, Senior Member, IEEE, Diana Marculescu, Fellow, IEEE, and Radu Marculescu, Fellow, IEEE. 14. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 11, NOVEMBER 2017. A Low-Power High-Speed Hybrid ADC With Merged Sample-and-Hold and DAC Functions for Efficient Subranging,Time- Interleaved Operation Seyed Ali reza Zahrai, Student Member, IEEE, Marina Zlochisti, Nicolas Le Dortz, Member, IEEE, and Marvin Onabajo, Senior Member, IEEE. 15. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 11, NOVEMBER 2017. DVFS-Based Long-Term Task Scheduling for Dual-Channel Solar-Powered Sensor Nodes Tongda Wu, Yongpan Liu, Senior Member, IEEE, Daming Zhang, Jinyang Li, Xiaobo Sharon Hu, Fellow, IEEE, Chun Jason Xue, Senior Member, IEEE, and Huazhong Yang, Senior Member, IEEE.