SlideShare a Scribd company logo
1 of 15
Enabling CXL devices within the Data
Center with Arm Solutions
Enabling CXL devices within the Data
Center with Arm Solutions
Parag Beeraka, Senior Director of Segment Marketing, Arm
Arm product portfolio for the Data Center
CPU Interconnect and System Reference Designs
• V Series
• N Series
• E Series
• Scalable Coherent Mesh Fabric
(CMN)
• NI NoC Network on-chip non-
coherent fabric
• GIC
• MMU
• V1 Reference Design
• N2 Reference Design
Arm is enabling Rapid Pace of Innovation with
CXL E-series
Efficient Throughput
N-series
Efficient Performance
V-series
Maximum Performance and Optimal TCO
Performance Performance per watt Throughput efficiency
2020
2023+
2019
2019
V1
Platform
DDR5, PCIe g5
CMN
V1
V2
Platform
DDR5, PCIe g5,
CXL 2.0
CMN
V2
Poseidon
Platform
CMN
V ...
DDR5, PCIe g6,
CXL 3.0
N1
Platform
DDR4, PCIe g4
CMN
N1
N2
Platform
CMN
N2
DDR5, PCIe g5,
CXL 2.0
DDR4, PCIe g4
CMN
E1
Platform
E1
N-series
Next
CMN
N-Next
DDR5, PCIe g6,
CXL 3.0 CMN
E2
Platform
Cortex-A510
DDR5, PCIe g5,
CXL 2.0
DDR5, PCIe g6,
CXL 3.0
CMN
E-series
Next
E-Next
2023+
2023+
Arm Neoverse CPUs along with CMN Interconnect enable CXL
2022
Disaggregation of Compute and Memory in Data
Center
• Inefficiency of DRAM memory
utilization
• Memory channel bandwidth and
capacity per core declining
• Reduce Total Cost of Ownership
(TCO)
• Workloads becoming more and
more divergent
Problem Statement Solutions with CXL
CXL Memory Expansion CXL Memory Pooling
CXL memory pooling
controller
CXL memory expansion cards
in the rack and separate racks
CXL
CPU
DPU
CPU
DPU
CXL Memory Pooling Solution Proposal
Arm is in a unique position to provide
CXL memory pooling solution
o Lowest latency Coherent Mesh
Network (CMN) product based on
collaboration with hyperscalers
o Arm CPUs for Fabric and SoC
management
o Provide end-end CXL optimized
solutions with Host and Device side
knowledge
CXL memory
pooling
controller
CPU
Arm
CMN
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
D
D
R
P
H
Y
E
P
CXL
Arm CPU
for fabric
mgmt
Arm CPU
for SoC
mgmt
CXL
CXL
CXL
DPU
Host
Device
4-8 CXL
Inputs &
8 DDR
controllers
with
CXL
CXL Memory Pooling Solution Proposal –
Architecture
• Arm Products
• CMN - interconnect featuring CXL
3.0/3.1 (type-3 device)
• Cortex-R82 w/ MMU - Fabric Manager
• Cortex-R82 w/ CHI – coherent cores
• Cortex-M - SoC Manager
• Capabilities
• 4-port CXL 3.0/3.1 type-3 device
• Gen6x8/16 link per port
• 8x DDR5 memory controllers
• UCIe chip-to-chip interface
• Memory pooling:
• 2-4TB DDR5
• 256-512GB per host
• 200-400+ GB/s total memory
bandwidth
Memory Pooling Controller
DDR5
CMN Interconnect
DDR5
DDR5
DDR5
DDR5
DDR5
DDR5
DDR5
CXL 3.X
CXL 3.X
CXL 3.X
CXL 3.X
Fabric Mgr
(Cortex-R82)
SoC Mgr
(Cortex-M)
UCIe
Coherent
Cores (1-8)
(Cortex-R82)
3rd Party
Arm IP
Enabling CXL support in Arm CMN portfolio
CXL/CCIX Gateway (CCG)
• Upper Link Layer for CXL.mem and
CXL.cache
• CCIX-SMP Socket - Socket
• CXL/CXS Flit formation
• Link & Protocol Credits
CMN-Kampos
Interconnect
CHI.e
CXL ARB/
MUX
PCIe
FlexBus
PHY
PCIe-Gen5 x16
FlexBus
CCIX 2.0 or CXL Host/Device
External Interface
3rd
Party CXL & PCIe IP
CXL.io & PCIe
Data Link Layer
CXL.io
& PCIe
Transaction
Layer
RN-D
HN-I
DTI
MMU-
Woolf
(Host only)
CHI.e
CCG
CXL / CCIX
Upper Link Layer
- CXL Flit formation
- Packing and Un-Packing
- Link Credit Manager
CXL / CCIX
Lower Link Layer
- CRC handling (generate/
check)
- Link Layer Control
- Link layer Retry Handling
512b
CXL.cache & CXL.mem
& CCIX 2.0 Link Layer
MMU
Ongoing Interoperability
with a few 3rd party CXL
IP and PHYs
Arm works with 3rd party vendors on CXL
Interoperability
CMN-Host
Arm
Neoverse
CPU Cluster
GIC SCP
Memory
Controller
C1
Memory
Controller
C0
Host
CHIP-0
CMN-Device
SCP
Memory
Controller
C1
Memory
Controller
C0
Device
CHIP-1
3rd
Party
CXL3.0
Controller
3rd
Party
CXL3.0
Controller
Arm can provide memory pooling with Hardware
Coherency
Controller
CPU
DPU
Host
CPU
DPU
Host
CPU
DPU
Host …
CXL Link w/
BISnp Channel
CXL Link w/
BISnp Channel
CXL Link w/
BISnp Channel
CXL Link w/
BISnp Channel
Arm CMN
Interconnect with
Snoop Filters
D
D
R
D
D
R
D
D
R
D
D
R
D
D
R
D
D
R
D
D
R
D
D
R
Arm CPU
for fabric
mgmt
Arm CPU
for SoC
mgmt
Arm CXL
Gateway
(CCG)
Shared
Memory
Region
(HDM-DB)
Pooled
Memory
Region
Memory Pooling Controller
Arm CXL
Gateway
(CCG)
CXL Link w/
BISnp Channel
Arm CXL
Gateway
(CCG)
CXL Link w/
BISnp Channel
CXL Device side support in CMN portfolio
Product: CMN
Availability Released Released
CXL Version CXL 1.1 CXL 2.0
Bifurcation - Yes
Max System Level
Cache(SLC)/Node
4MB 4MB
SLD Yes Yes
Reset - Yes
P2P / UIO - Yes
Features planned for future CMN releases
• Security - IDE
• MemSpecRd
• Telemetry
• Multi-host (MH-SLD)
• DSA Mode – reduced latency/area for non-coherent use-
cases
• Pooled memory (MH-SLD with DCD)
• Extended metadata
• Multiple logical devices ( MH-MLD, SH-MLD)
• Memory Sharing via HDM-DB (Back Invalidation)
Arm is Driving Technology Leadership Across
Standards
AMBA CHI, UCIe and CXL deliver leadership die-to-die and chip-to-chip fabric solutions
Arm AMBA
CHI
Compute
Cluster
AMBA CHI CTC extends Arm Architecture to
Multichip
Single interface to enable capabilities and diverse solutions
AMBA CHI: Protocol for Arm architecture aware solutions
Transport: Standard (UCIe/CXL/PCIe) or 3rd Party (NVLINK)
arm
Neoverse
A
A
A M
A Accelerator
M Memory
• A unified interface for handling:
• Compute, Device and Memory device
attach
• Coherent and IO Coherent accelerator
traffic
• Architectural features extended across
chip(let)
• Virtualization (DVM), Interrupts, Timers
• Telemetry and Resource Management
(MPAM)
• Security for trust, memory protection and
Arm Confidential Compute
• Localized managed memory
• Composable with die re-use across
different solutions
• Call to Action
• Please bring your ideas, feedback to Composable Memory Systems (CMS) -
OCP Subproject
• Summary
• Arm can provide a solution for CXL Memory pooling solution with
Arm’s interconnect and CPU product portfolio
• Arm has also invested in doing interoperability with ecosystem to
further expedite the solution development
Call to Action and Summary
Thank you!

More Related Content

What's hot

CXL chapter1 and chapter 2 presentation.pptx
CXL chapter1 and chapter 2 presentation.pptxCXL chapter1 and chapter 2 presentation.pptx
CXL chapter1 and chapter 2 presentation.pptx
kirankumarpalakurthi
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
Deepak Shankar
 

What's hot (20)

CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and SwitchingCXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
 
Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP
Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IPQ1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP
Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP
 
CXL chapter1 and chapter 2 presentation.pptx
CXL chapter1 and chapter 2 presentation.pptxCXL chapter1 and chapter 2 presentation.pptx
CXL chapter1 and chapter 2 presentation.pptx
 
Past Present and Future of CXL
Past Present and Future of CXLPast Present and Future of CXL
Past Present and Future of CXL
 
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform TopologiesPCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
 
CXL at OCP
CXL at OCPCXL at OCP
CXL at OCP
 
Astera Labs: Intelligent Connectivity for Cloud and AI Infrastructure
Astera Labs:  Intelligent Connectivity for Cloud and AI InfrastructureAstera Labs:  Intelligent Connectivity for Cloud and AI Infrastructure
Astera Labs: Intelligent Connectivity for Cloud and AI Infrastructure
 
MemVerge: Past Present and Future of CXL
MemVerge: Past Present and Future of CXLMemVerge: Past Present and Future of CXL
MemVerge: Past Present and Future of CXL
 
Enfabrica - Bridging the Network and Memory Worlds
Enfabrica - Bridging the Network and Memory WorldsEnfabrica - Bridging the Network and Memory Worlds
Enfabrica - Bridging the Network and Memory Worlds
 
SK hynix CXL Disaggregated Memory Solution
SK hynix CXL Disaggregated Memory SolutionSK hynix CXL Disaggregated Memory Solution
SK hynix CXL Disaggregated Memory Solution
 
Q1 Memory Fabric Forum: Intel Enabling Compute Express Link (CXL)
Q1 Memory Fabric Forum: Intel Enabling Compute Express Link (CXL)Q1 Memory Fabric Forum: Intel Enabling Compute Express Link (CXL)
Q1 Memory Fabric Forum: Intel Enabling Compute Express Link (CXL)
 
Shared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMIShared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMI
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor Architecture
 
DDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : PresentationDDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : Presentation
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
 
The State of CXL-related Activities within OCP
The State of CXL-related Activities within OCPThe State of CXL-related Activities within OCP
The State of CXL-related Activities within OCP
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Micron CXL product and architecture update
Micron CXL product and architecture updateMicron CXL product and architecture update
Micron CXL product and architecture update
 
AMD Chiplet Architecture for High-Performance Server and Desktop Products
AMD Chiplet Architecture for High-Performance Server and Desktop ProductsAMD Chiplet Architecture for High-Performance Server and Desktop Products
AMD Chiplet Architecture for High-Performance Server and Desktop Products
 

Similar to Arm: Enabling CXL devices within the Data Center with Arm Solutions

S5pc100 Brochure 200902
S5pc100 Brochure 200902S5pc100 Brochure 200902
S5pc100 Brochure 200902
guestc82ba2
 
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
Altera Corporation
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
Arvind Kumar
 

Similar to Arm: Enabling CXL devices within the Data Center with Arm Solutions (20)

Q1 Memory Fabric Forum: CXL Controller by Montage Technology
Q1 Memory Fabric Forum: CXL Controller by Montage TechnologyQ1 Memory Fabric Forum: CXL Controller by Montage Technology
Q1 Memory Fabric Forum: CXL Controller by Montage Technology
 
Montage: MXC Memory Controller for Expansion & Pooling
Montage: MXC Memory Controller for Expansion & PoolingMontage: MXC Memory Controller for Expansion & Pooling
Montage: MXC Memory Controller for Expansion & Pooling
 
CXL Controller by Montage Technology
CXL Controller by Montage TechnologyCXL Controller by Montage Technology
CXL Controller by Montage Technology
 
Xilinx Data Center Strategy and CCIX
Xilinx Data Center Strategy and CCIXXilinx Data Center Strategy and CCIX
Xilinx Data Center Strategy and CCIX
 
Q1 Memory Fabric Forum: SMART CXL Product Lineup
Q1 Memory Fabric Forum: SMART CXL Product LineupQ1 Memory Fabric Forum: SMART CXL Product Lineup
Q1 Memory Fabric Forum: SMART CXL Product Lineup
 
S5pc100 Brochure 200902
S5pc100 Brochure 200902S5pc100 Brochure 200902
S5pc100 Brochure 200902
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
 
How to Select Hardware for Internet of Things Systems?
How to Select Hardware for Internet of Things Systems?How to Select Hardware for Internet of Things Systems?
How to Select Hardware for Internet of Things Systems?
 
Webinar: Aplicações gráficas com STM32H7
Webinar: Aplicações gráficas com STM32H7Webinar: Aplicações gráficas com STM32H7
Webinar: Aplicações gráficas com STM32H7
 
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
AMC & VPX Form Factor Boards With High Speed SERDES: Embedded World 2010
 
Morello Technology Demonstrator Hardware Overview - Mark Inskip, Arm
Morello Technology Demonstrator Hardware Overview - Mark Inskip, ArmMorello Technology Demonstrator Hardware Overview - Mark Inskip, Arm
Morello Technology Demonstrator Hardware Overview - Mark Inskip, Arm
 
Fixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP PlatformFixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP Platform
 
HiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentationHiPEAC 2022-DL4IoT workshop_René Griessl presentation
HiPEAC 2022-DL4IoT workshop_René Griessl presentation
 
Introduce to AM37x Sitara™ Processors
Introduce to AM37x Sitara™ ProcessorsIntroduce to AM37x Sitara™ Processors
Introduce to AM37x Sitara™ Processors
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoTVEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
 
Efficient software development with heterogeneous devices
Efficient software development with heterogeneous devicesEfficient software development with heterogeneous devices
Efficient software development with heterogeneous devices
 
Assignmentdsp
AssignmentdspAssignmentdsp
Assignmentdsp
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXLQ1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
 

More from Memory Fabric Forum

More from Memory Fabric Forum (20)

H3 Platform CXL Solution_Memory Fabric Forum.pptx
H3 Platform CXL Solution_Memory Fabric Forum.pptxH3 Platform CXL Solution_Memory Fabric Forum.pptx
H3 Platform CXL Solution_Memory Fabric Forum.pptx
 
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
 
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptxQ1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
 
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and DevicesQ1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
 
Q1 Memory Fabric Forum: About MindShare Training
Q1 Memory Fabric Forum: About MindShare TrainingQ1 Memory Fabric Forum: About MindShare Training
Q1 Memory Fabric Forum: About MindShare Training
 
Q1 Memory Fabric Forum: CXL-Related Activities within OCP
Q1 Memory Fabric Forum: CXL-Related Activities within OCPQ1 Memory Fabric Forum: CXL-Related Activities within OCP
Q1 Memory Fabric Forum: CXL-Related Activities within OCP
 
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin LabsQ1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
 
Q1 Memory Fabric Forum: Breaking Through the Memory Wall
Q1 Memory Fabric Forum: Breaking Through the Memory WallQ1 Memory Fabric Forum: Breaking Through the Memory Wall
Q1 Memory Fabric Forum: Breaking Through the Memory Wall
 
Q1 Memory Fabric Forum: CXL Form Factor Primer
Q1 Memory Fabric Forum: CXL Form Factor PrimerQ1 Memory Fabric Forum: CXL Form Factor Primer
Q1 Memory Fabric Forum: CXL Form Factor Primer
 
Q1 Memory Fabric Forum: Memory Fabric in a Composable System
Q1 Memory Fabric Forum: Memory Fabric in a Composable SystemQ1 Memory Fabric Forum: Memory Fabric in a Composable System
Q1 Memory Fabric Forum: Memory Fabric in a Composable System
 
Q1 Memory Fabric Forum: Big Memory Computing for AI
Q1 Memory Fabric Forum: Big Memory Computing for AIQ1 Memory Fabric Forum: Big Memory Computing for AI
Q1 Memory Fabric Forum: Big Memory Computing for AI
 
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory ModulesQ1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
 
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 UpdateQ1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
 
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
 
Q1 Memory Fabric Forum: XConn CXL Switches for AI
Q1 Memory Fabric Forum: XConn CXL Switches for AIQ1 Memory Fabric Forum: XConn CXL Switches for AI
Q1 Memory Fabric Forum: XConn CXL Switches for AI
 
Q1 Memory Fabric Forum: VMware Memory Vision
Q1 Memory Fabric Forum: VMware Memory VisionQ1 Memory Fabric Forum: VMware Memory Vision
Q1 Memory Fabric Forum: VMware Memory Vision
 
MemVerge: Memory Expansion Without Breaking the Budget
MemVerge: Memory Expansion Without Breaking the BudgetMemVerge: Memory Expansion Without Breaking the Budget
MemVerge: Memory Expansion Without Breaking the Budget
 
Micron - CXL Enabling New Pliability in the Modern Data Center.pptx
Micron - CXL Enabling New Pliability in the Modern Data Center.pptxMicron - CXL Enabling New Pliability in the Modern Data Center.pptx
Micron - CXL Enabling New Pliability in the Modern Data Center.pptx
 
Photowave Presentation Slides - 11.8.23.pptx
Photowave Presentation Slides - 11.8.23.pptxPhotowave Presentation Slides - 11.8.23.pptx
Photowave Presentation Slides - 11.8.23.pptx
 
TE Connectivity: Card Edge Interconnects
TE Connectivity: Card Edge InterconnectsTE Connectivity: Card Edge Interconnects
TE Connectivity: Card Edge Interconnects
 

Recently uploaded

Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Victor Rentea
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
WSO2
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
TrustArc
 

Recently uploaded (20)

Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
API Governance and Monetization - The evolution of API governance
API Governance and Monetization -  The evolution of API governanceAPI Governance and Monetization -  The evolution of API governance
API Governance and Monetization - The evolution of API governance
 
Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..
 
Quantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation ComputingQuantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation Computing
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformLess Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
Modernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaModernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using Ballerina
 
How to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cfHow to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cf
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
JohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptx
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
Decarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational PerformanceDecarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational Performance
 
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
TrustArc Webinar - Unified Trust Center for Privacy, Security, Compliance, an...
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 

Arm: Enabling CXL devices within the Data Center with Arm Solutions

  • 1. Enabling CXL devices within the Data Center with Arm Solutions
  • 2. Enabling CXL devices within the Data Center with Arm Solutions Parag Beeraka, Senior Director of Segment Marketing, Arm
  • 3. Arm product portfolio for the Data Center CPU Interconnect and System Reference Designs • V Series • N Series • E Series • Scalable Coherent Mesh Fabric (CMN) • NI NoC Network on-chip non- coherent fabric • GIC • MMU • V1 Reference Design • N2 Reference Design
  • 4. Arm is enabling Rapid Pace of Innovation with CXL E-series Efficient Throughput N-series Efficient Performance V-series Maximum Performance and Optimal TCO Performance Performance per watt Throughput efficiency 2020 2023+ 2019 2019 V1 Platform DDR5, PCIe g5 CMN V1 V2 Platform DDR5, PCIe g5, CXL 2.0 CMN V2 Poseidon Platform CMN V ... DDR5, PCIe g6, CXL 3.0 N1 Platform DDR4, PCIe g4 CMN N1 N2 Platform CMN N2 DDR5, PCIe g5, CXL 2.0 DDR4, PCIe g4 CMN E1 Platform E1 N-series Next CMN N-Next DDR5, PCIe g6, CXL 3.0 CMN E2 Platform Cortex-A510 DDR5, PCIe g5, CXL 2.0 DDR5, PCIe g6, CXL 3.0 CMN E-series Next E-Next 2023+ 2023+ Arm Neoverse CPUs along with CMN Interconnect enable CXL 2022
  • 5. Disaggregation of Compute and Memory in Data Center • Inefficiency of DRAM memory utilization • Memory channel bandwidth and capacity per core declining • Reduce Total Cost of Ownership (TCO) • Workloads becoming more and more divergent Problem Statement Solutions with CXL CXL Memory Expansion CXL Memory Pooling CXL memory pooling controller CXL memory expansion cards in the rack and separate racks CXL CPU DPU CPU DPU
  • 6. CXL Memory Pooling Solution Proposal Arm is in a unique position to provide CXL memory pooling solution o Lowest latency Coherent Mesh Network (CMN) product based on collaboration with hyperscalers o Arm CPUs for Fabric and SoC management o Provide end-end CXL optimized solutions with Host and Device side knowledge CXL memory pooling controller CPU Arm CMN D D R P H Y E P D D R P H Y E P D D R P H Y E P D D R P H Y E P D D R P H Y E P D D R P H Y E P D D R P H Y E P D D R P H Y E P CXL Arm CPU for fabric mgmt Arm CPU for SoC mgmt CXL CXL CXL DPU Host Device 4-8 CXL Inputs & 8 DDR controllers with CXL
  • 7. CXL Memory Pooling Solution Proposal – Architecture • Arm Products • CMN - interconnect featuring CXL 3.0/3.1 (type-3 device) • Cortex-R82 w/ MMU - Fabric Manager • Cortex-R82 w/ CHI – coherent cores • Cortex-M - SoC Manager • Capabilities • 4-port CXL 3.0/3.1 type-3 device • Gen6x8/16 link per port • 8x DDR5 memory controllers • UCIe chip-to-chip interface • Memory pooling: • 2-4TB DDR5 • 256-512GB per host • 200-400+ GB/s total memory bandwidth Memory Pooling Controller DDR5 CMN Interconnect DDR5 DDR5 DDR5 DDR5 DDR5 DDR5 DDR5 CXL 3.X CXL 3.X CXL 3.X CXL 3.X Fabric Mgr (Cortex-R82) SoC Mgr (Cortex-M) UCIe Coherent Cores (1-8) (Cortex-R82) 3rd Party Arm IP
  • 8. Enabling CXL support in Arm CMN portfolio CXL/CCIX Gateway (CCG) • Upper Link Layer for CXL.mem and CXL.cache • CCIX-SMP Socket - Socket • CXL/CXS Flit formation • Link & Protocol Credits CMN-Kampos Interconnect CHI.e CXL ARB/ MUX PCIe FlexBus PHY PCIe-Gen5 x16 FlexBus CCIX 2.0 or CXL Host/Device External Interface 3rd Party CXL & PCIe IP CXL.io & PCIe Data Link Layer CXL.io & PCIe Transaction Layer RN-D HN-I DTI MMU- Woolf (Host only) CHI.e CCG CXL / CCIX Upper Link Layer - CXL Flit formation - Packing and Un-Packing - Link Credit Manager CXL / CCIX Lower Link Layer - CRC handling (generate/ check) - Link Layer Control - Link layer Retry Handling 512b CXL.cache & CXL.mem & CCIX 2.0 Link Layer MMU Ongoing Interoperability with a few 3rd party CXL IP and PHYs
  • 9. Arm works with 3rd party vendors on CXL Interoperability CMN-Host Arm Neoverse CPU Cluster GIC SCP Memory Controller C1 Memory Controller C0 Host CHIP-0 CMN-Device SCP Memory Controller C1 Memory Controller C0 Device CHIP-1 3rd Party CXL3.0 Controller 3rd Party CXL3.0 Controller
  • 10. Arm can provide memory pooling with Hardware Coherency Controller CPU DPU Host CPU DPU Host CPU DPU Host … CXL Link w/ BISnp Channel CXL Link w/ BISnp Channel CXL Link w/ BISnp Channel CXL Link w/ BISnp Channel Arm CMN Interconnect with Snoop Filters D D R D D R D D R D D R D D R D D R D D R D D R Arm CPU for fabric mgmt Arm CPU for SoC mgmt Arm CXL Gateway (CCG) Shared Memory Region (HDM-DB) Pooled Memory Region Memory Pooling Controller Arm CXL Gateway (CCG) CXL Link w/ BISnp Channel Arm CXL Gateway (CCG) CXL Link w/ BISnp Channel
  • 11. CXL Device side support in CMN portfolio Product: CMN Availability Released Released CXL Version CXL 1.1 CXL 2.0 Bifurcation - Yes Max System Level Cache(SLC)/Node 4MB 4MB SLD Yes Yes Reset - Yes P2P / UIO - Yes Features planned for future CMN releases • Security - IDE • MemSpecRd • Telemetry • Multi-host (MH-SLD) • DSA Mode – reduced latency/area for non-coherent use- cases • Pooled memory (MH-SLD with DCD) • Extended metadata • Multiple logical devices ( MH-MLD, SH-MLD) • Memory Sharing via HDM-DB (Back Invalidation)
  • 12. Arm is Driving Technology Leadership Across Standards AMBA CHI, UCIe and CXL deliver leadership die-to-die and chip-to-chip fabric solutions Arm AMBA CHI Compute Cluster
  • 13. AMBA CHI CTC extends Arm Architecture to Multichip Single interface to enable capabilities and diverse solutions AMBA CHI: Protocol for Arm architecture aware solutions Transport: Standard (UCIe/CXL/PCIe) or 3rd Party (NVLINK) arm Neoverse A A A M A Accelerator M Memory • A unified interface for handling: • Compute, Device and Memory device attach • Coherent and IO Coherent accelerator traffic • Architectural features extended across chip(let) • Virtualization (DVM), Interrupts, Timers • Telemetry and Resource Management (MPAM) • Security for trust, memory protection and Arm Confidential Compute • Localized managed memory • Composable with die re-use across different solutions
  • 14. • Call to Action • Please bring your ideas, feedback to Composable Memory Systems (CMS) - OCP Subproject • Summary • Arm can provide a solution for CXL Memory pooling solution with Arm’s interconnect and CPU product portfolio • Arm has also invested in doing interoperability with ecosystem to further expedite the solution development Call to Action and Summary