SlideShare a Scribd company logo
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 1 of 27
AMD Chiplet Architecture
for High-Performance
Server and Desktop Products
Samuel Naffziger
Presented at ISSCC 2020
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 2 of 27
7nm Core Complex Die:
3.8 Billion FETs, 74 mm2
3rd Gen
AMD
RyzenTM
Processor
2nd Gen AMD EPYCTM
AMD X570 Chipset
Client IO Die
2.09 Billion FETs, 125 mm2
Server IO Die
8.34 Billion FETs, 416 mm2
4xDDR
4xDDR
4 x16 PCIe/IFIP
4 x16 PCIe/IFIPIFOP IFOP
IFOPIFOP
2xDDR PCIe
IFOP
IFOP
L3
L3
Zen2cores
Zen2cores
Outline
• Motivation and architectural goals
• Engineering challenges and solutions
• Silicon-package co-design
• Die-to-die interconnects
• Shared IO die architecture
• Power distribution and management
• Results
3rd Gen AMD RyzenTM
ThreadripperTM Processor
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 3 of 27
Motivation and Architectural Goals
• This required
– Exploiting advanced 7nm technology for better performance and
performance/Watt
– Packing more silicon into the package than traditional approaches enable
• While also
– Enabling scalable performance/$ up to performance levels otherwise not
achievable
– Improving memory and IO latency
– Supporting leverage across markets by re-using IP and SOCs
Primary goal:
Achieve leadership performance, performance/Watt and
performance/$ in server and desktop markets
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 4 of 27
Background: Performance and Die Size Trend
• Generational
performance
improvements are an
exponential trend
• Holding to this trend has
required increasing core
counts and die sizes
• Bumping up against the
reticle limit and becoming
too costly
1X
10X
100X
2006 2009 2012 2014 2017 2020
ThroughputPerformanceRatio
Specint®_rate2006 2P Server Performance
Trend Over Time1
Goal:
Above the
historical
trend line
0
200
400
600
800
1000
Oct-06 Jul-09 Apr-12 Dec-14 Sep-17 Jun-20 Mar-23
ThroughputPerformance
Server CPU Dies sizes over time
1. Su, Lisa “Delivering the Future of High-Performance
Computing”, Hot Chips 31 (2019)
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 5 of 27
Exploiting 7nm Technology
• Leadership performance
requires 7nm benefits
• Yet the cost of advanced
technologies are increasing
• Traditional approaches of
large die sizes not viable
• Innovation required
7nm Compute Efficiency Gains
-
1.00
2.00
3.00
4.00
5.00
6.00
45nm 32nm 28nm 20nm 14/16nm 7nm 5nm
NormalizeCost/Yieldedmm2
Cost Per Yielded mm2 for a 250mm2 Die
1. Based on June 8, 2018 AMD internal testing of same-
architecture product ported from 14 to 7 nm technology
with similar implementation flow/methodology, using
performance from SGEMM.
2X
DENSITY1
0.5X
POWER1
(same performance)
>1.25X
FREQUENCY1
(same power)
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 6 of 27
7nm Scaling
• High-performance server and
desktop processors are IO-heavy
• Analog devices and bump pitches
for IO benefit very little from leading
edge technology, and that
technology is very costly
• Solution: Partition the SOC,
reserving the expensive leading-
edge silicon for CPU cores while
leaving the IO and memory
interfaces in N-1 generation silicon
Prior Generation RYZEN™ Processor Die
7nm CCD is
86% CPU + L3IFOP SerDes
L3
L3
Zen2cores
Zen2cores
DFx SMU
• CPU core + L3 on this die comprises 56% of the area
• These circuits see huge 7nm gains
• Remaining 44% sees very little performance and
density improvement from 7nm
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 7 of 27
Chiplets Evolved – Hybrid Multi-die Architecture
1st Gen EPYCTraditional Monolithic
Use the Most
Advanced Technology
Where it is Needed
Most
Each IP in its Optimal
Technology, 2nd Gen
Infinity Fabric™
Connected
Centralized I/O Die
Improves NUMA
Superior
Technology for
CPU Performance
and Power
2nd Gen EPYC
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 8 of 27
Connecting the Chiplets
• Silicon interposers and bridges
provide high wire density, but have
limited reach
• Only supports die edge connectivity
which limits number of chiplets and
cores that can be supported
• Performance goals required more
Core Complex Die (CCDs) than can
be tiled adjacent to the IOD
• Solution is to retain the on-
package SerDes links for die-die
connections
IOD
CCD
CCDCCD
CCD
Theoretical Interposer-based
Selected MCM Approach
Interposer
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 9 of 27
CPU Compute Die (CCD) Floorplan
2 CCX core complexes
– 4 core and 16MB L3 each
– Comprise 86% of CCD area
System Management Unit (SMU)
– Microcontroller
– Power management
– Clocks and reset
– Fuses
– Thermal monitor and control
Infinity Fabric On-Package (IFOP) Links
– 14.6 GT/s (packing 10 bits at 1.46Ghz)
– 39 RX lanes – 2 clock lanes – 1 clock gating lane
– 31 TX lanes – 1 clock gating lane
– 4 lanes for control traffic – 2 clock lanes
DFT and Debug
Wafer test bumps
IFOPDFT SMU
L3
L3
Core2
Core0
Core3
Core1
Core0
Core2
Core1
Core3
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 10 of 27
Forwarded
clocks
Gen1 14nm
Gen2 14nm IOD, 7nm CCD
Max Per lane
Datarate
14.6Gbps
Max per lane
datarate
6.4Gbp/s
TX and RX T-Coil
Local PHY
Regulators
PHY Regulated
through package
Synchronous
clock crossing
50/100/200 Ohm
drive strength
and termination
50 Ohm fixed
drive strength and
termination
Local clock
alignment and
global tracking
4:1 Serialization/
Deserialization
10:1 Serialization/
Deserialization
Pseudo-Diff
Single Ended
Receiver
VTT Termination
Local CDR
IFOP GEN2 KEY FEATURE SUMMARY AND COMPARISON
I/ODDR
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
I/ODDR
Die3
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
I/OI/O
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 11 of 27
IFOP SerDes Architecture
New
Gen2
Features
FCLK
TXDRV
TXCLKTXCLKTXCLKTX X40
TXCLKTXCLKTXCLKRX X32
PLLCLKRX
TXCLKTXCLKTXCLKRX X40
TXCLKTXCLKTXCLKTX X32
FDO[38:0]
FDI[30:0]
FDI[38:0]
FDO[30:0]
DIFF
TXCLK
8Ghz
IOD CCD
CCD
FCLK
C
R
C C
R
C
QUAD
RXCLK
8Ghz
MCM
Package
Routes
CCD
CORE
IOD
CORE
FWDFCLK[38:0]
FCLK
FILT
QUAD
RXCLK
8Ghz
DIFF
TXCLK
8Ghz
FWDFCLK[30:0]
PLL
Serializer TX
Trained
Register
Capture
Calibration logic
Clock generator
TX lane Detail RX lane Detail
FWDFCLK
FDO[9:0]
TXCLK
RX
De-
serializer50Ω 50Ω
+
-
VTT
Phase
Interpolator CDR
Trained
Low
Latency
FIFO
Clock generator
QUAD
RXCLK
Calibration
Logic
FDI[9:0]
RX X40
Tcoil Tcoil
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 12 of 27
Package Routing Challenges
• Prior generation already consumed
all package routing resources for
memory and IO
• Connecting 9 chiplets in the same
package requires innovation
I/ODDR
Die2
CCX
CCX
I/O
DDR
Die1
CCX
CCX
I/O
I/ODDR
Die3
CCX
CCX
I/O
DDR
Die0
CCX
CCX
I/O
I/OI/O
1st Gen AMD EPYC™
[Beck ISSCC 2018]
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 13 of 27
CCD
IODDDR DDR
SERDES
SERDES
CCD
CCD
CCD
CCD
CCD
CCD
CCD
Under-CCD Routing
Routing Infinity Fabric on Package (IFOP) SerDes links from IOD to the
2-deep chiplets required sharing routing layers with off-package
SerDes and competing with power delivery requirements
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 14 of 27
Zen vs. Zen 2 VDDM Distribution
Dense SRAMs require a separate rail
Zen VDDM distribution via package plane Zen 2 VDDM distribution via RDL only
RDL
Package
RDL
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 15 of 27
Zen 2 VDDM Design Challenges
• RDL is more resistive than a
dedicated package layer
• Therefore we reduced overall
VDDM current draw by 80%
compared to Zen ([Singh
ISSCC 2020])
• New, smaller, and distributed
LDO design
• Ensured sufficient routing
porosity through the
integrated LDO’s to enable
critical routing
• These improvements kept the
IR drop to ≈10mV impact
Core
+L2
L3 4MB
slice
4 VDDM LDO’s inside the L3
LDO
Core
+L2
Core
+L2
Core
+L2
L3 4MB
slice
L3 4MB
slice
L3 4MB
slice
VDDM
RDL
spanning
L2 and L3
Enables 80 IFOP package routed
signals under the CCD
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 16 of 27
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Zen2
CCD
Infinity Fabric (die-to-die)
IO Controllers and PHYs
2 x DDR4 PHYs
72 Data +
8 Clk/Ctl
(total/CCD)
128 total x16
SERDES
Package Integration, Server, and Desktop
• Bump pitch for 14nm and
7nm is 150um and 130um
respectively
• Transitioned IOD from
solder bumps to copper
pillars, enabling a common
interface for IOD+CCD
• Conducive to tighter
bump pitches (compact)
• Enabled common die
height after assembly
• Higher max current
(electromigration) limits
3rd Gen AMD RyzenTM Processor
2nd Gen AMD EPYCTM Server Processor
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 17 of 27
Operating System Scheduler Optimizations
• Growing number of cores and the advent of chiplets
resulted in a wider range of frequency responses to
process, voltage and temperature variations
– Up to 200MHz core-to-core Fmax upside within a CCD
– Legacy boost approaches don’t take advantage of the faster cores
• Preferred Core Ordering maximizes performance
– New algorithm characterizes the capabilities of the cores at boot
time under various system parameters and generates a list of cores
in an order of frequency capability
– The core ordering is modified according to the usage policy detected
• Single threaded applications scheduled to the fastest cores
• Multi-threaded applications scheduled toward the fastest core
cluster (CCX), maximizing L3 cache sharing
– This core ordering is expressed to the OS allowing for an efficient,
dynamic, HW-directed selection of processors for a given workload
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 18 of 27
Per-Core Linear Regulation
Regulating the voltage per-core enables power savings by adapting the voltage to each core’s
capability and compensating for power delivery gradients across-package
8 cores per chiplet, each
with separate VDD
64 total core-specific
voltages
• Digitally controlled LDO enables setting voltage based
on per-core speed capability for a given frequency
• Droops mitigated with fast-response charge injection
from RVDD for cores with a drop-out
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 19 of 27
Clock Stretching and Per-Core Voltage
• Droop detection with a fast analog
comparator
• Separate threshold for LDO Charge
Injection (CI level) and for clock
stretching (CKS)
• These work synergistically to lower the
required voltage for a given frequency
VDD droop forces core stretch after 1 more full frequency period
Clock stretch response rise-to-rise, is 150% period, 175% period, then 125%
periods
DROOP
CCLK
Same-frequency power
savings through voltage
reduction
No LDO, no CKS 0%
LDO only 19%
CKS only 19%
LDO and CKS 25%
Based on AMD internal testing of 64C AMD EPYC "Rome"
processor operating at 2.5GHz, synthetic di/dt pattern
TDC
VID
IDD
Idle EDC
Bottom of LL
VDDCORE0
(Core0 DLDO)VDDCORE1
(Core1 DLDO)
VDDCORE2
(Core2 DLDO)
VDDCORE7
(slowest)
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 20 of 27
Prior Generation
(EPYC™ 7001 Series Processors)
3 NUMA Distances
8 NUMA Domains
Domain Latency1 (ns)
NUMA1 90
NUMA2 141
NUMA3 234
Avg. Local2 128
Improving Memory Performance
• Server memory latency is a key factor
in performance
• A goal for 2nd Gen AMD EPYCTM was
to improve on the 2017 1st Gen
EPYC™ design
• Non-Uniform-Memory-Access (NUMA)
behaviors are a result of memory
interfaces being distributed across die
• Significant deltas from NUMA1 to
NUMA2 impact performance for some
applications
1: AMD internal testing with DRAM page miss
2: 75% NUMA 2 + 25% NUMA 1 traffic mix
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 21 of 27
2nd Gen AMD EPYCTM Improved Memory Latency
• Central IOD enables a single NUMA domain per socket
• Improved average memory latency1 by 24ns (19%)2
• Minimum (local) latency only increases 4ns with chiplet architecture
G1 PCIe
G0 PCIe
G2 PCIe
G3 PCIe
S-Link to
P1/P2 PCIe
MA
MBMC
MD
MH
MG
ME
MF
CCD1CCD0
CCD3CCD2
UMC0
UMC1
UMC3
UMC2
IO0
IO1
G0 xGMI
G1 xGMI
S-Link to
P0/P3 PCIe
IO2
IO3
P3 PCIe
G3 xGMI
G2 xGMI
UMC4
UMC5
UMC7
UMC6
CCD4CCD5
CCD6CCD7
G2 PCIe
G0 PCIeG3 PCIe
P1P2
P0P3
P2 PCIe
P0 PCIe
P1 PCIe
G1 xGMI
IO1
G1 xGMI
P1 PCIe
G1 PCIe
1
2
4
3
CCD0, CCD1, IO0, CCD2, CCD3, IO1,
CCD4, CCD5, IO2, CCD6, CCD7, IO3,
MA/MB/MC/MD/ME/MF/MG/MH
interleaved
Single Domain
 1.46GHz / DDR2933 (coupled)1
 1: Local 94ns
 2: ~97ns
 3: ~104ns
 4: ~114ns
 Measured Avg: ~104ns
Repeater: 1 FCLK (1.46GHz)
Switch: 2 FCLK (1.46GHz)
(low-load bypass, best-case)
1: AMD internal testing
with DRAM page miss
2: EPYC 7002 Series
NUMA 1 vs EPYC 7001
Series Avg. Local; EPYC
7002 Series NUMA2 vs
EPYC 7001 Series
NUMA 3
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 22 of 27
0
0.2
0.4
0.6
0.8
1
1.2
1.4
1.6
1.8
2
64 Cores 48 Cores 32 Cores 24 Cores 16 Cores
NormalizedDieCost
Chiplet 7nm + 14nm Hypothetical Monolithic 7nm
Dummy
2nd Gen AMD EPYCTM Chiplet Performance vs. Cost
• Higher core counts and
performance than
possible with a
monolithic design
• Lower costs at all core
count / performance
points in the product line
• Cost scales down with
performance by
depopulating chiplets
• 14nm technology for IOD
reduces the fixed cost
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 23 of 27
0
0.5
1
1.5
2
2.5
16 cores 8 cores
NormalizedDieCost
Chiplet 7nm + 14nm Hypothetical Monolithic 7nm
3rd Gen AMD Ryzen™ Processor Chiplet Performance vs. Cost
Similar cost savings and
scalability for desktop
Re-using the client IO die for
the X570 Chipset expander
enables optional additional
connectivity for higher end
systems
• PCIe, SATA, USB
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 24 of 27
Performance Results
Chiplet architecture enables leadership performance and
performance/Watt in server and desktop markets
Metric at 105W TDP1 Ryzen 2700X (8C) Ryzen 3950X (16C) Improvement (%)
Cinebench r15 1T 177 216 22%
Cinebench r20 1T 434 527 21%
Cinebench r15 NT 1802 3928 118%
Cinebench r20 NT 4020 8862 120%
1T Fmax (Max Boost) 4.3 4.7 9%
NT Base Freq (All-core)1 3.9 3.95 1%
Metric
EPYC 7601
(32C 2P
180W TDP)
EPYC 7742
(64C 2P
225W TDP)
Improvement (%)
SPECrate®2017_int_base2
272 663 144%
SPECrate®2017_fp_base2
259 511 97%
NT Base Freq 2.2 2.5 14%
1. Testing as of
12/13/2019 by AMD
Performance Labs
using a Ryzen 9 3950X
with 16 cores vs. a
Ryzen 7 2700X with 8
cores in the Cinebench
R20 1T benchmark
test. Results may vary.
RZ3-102
2: Results obtained from the SPEC® website as of Jan 3, 2020.
EPYC 7601 SPECrate®2017_int_base: https://www.spec.org/cpu2017/results/res2017q4/cpu2017-20171114-00833.html
EPYC 7601 SPECrate®2017_fp_base: https://www.spec.org/cpu2017/results/res2017q4/cpu2017-20171114-00845.html
EPYC 7742 SPECrate®2017_int_base: https://www.spec.org/cpu2017/results/res2019q4/cpu2017-20191028-19261.html
EPYC 7742 SPECrate®2017_fp_base: https://www.spec.org/cpu2017/results/res2019q4/cpu2017-20191028-19237.html
More information about SPEC CPU® 2017 can be obtained from https://www.spec.org/cpu2017. SPEC®, SPEC CPU® and SPECrate® are registered trademarks of the Standard Performance Evaluation Corporation.
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 25 of 27
Summary
• Chiplet architecture has proven key to achieving leadership
performance, performance/$ and performance/Watt across multiple
market segments
4xDDR
4xDDR
4 x16 PCIe/IFIP
4 x16 PCIe/IFIPIFOP IFOP
IFOPIFOP
2xDDR
PCIe
IFOP
IFOP
L3
L3
Zen2cores
Zen2cores
• Many significant innovations were required:
• Package + Silicon co-design for optimizing
complex routes and heterogeneous technology
chiplet die
• Package level fabric and interconnect architecture
• Power delivery and voltage adaptation
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 26 of 27
Acknowledgment
We would like to thank our talented AMD design teams across
Austin, Bangalore, Boston, Fort Collins, Hyderabad, Markham,
Santa Clara, and Shanghai.
2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products
© 2020 IEEE
International Solid-State Circuits Conference 27 of 27
Disclaimer and Endnotes
DISCLAIMER
The information contained herein is for informational purposes only, and is subject to change without notice. While every
precaution has been taken in the preparation of this document, it may contain technical inaccuracies, omissions and
typographical errors, and AMD is under no obligation to update or otherwise correct this information. Advanced Micro
Devices, Inc. makes no representations or warranties with respect to the accuracy or completeness of the contents of this
document, and assumes no liability of any kind, including the implied warranties of noninfringement, merchantability or
fitness for particular purposes, with respect to the operation or use of AMD hardware, software or other products described
herein. No license, including implied or arising by estoppel, to any intellectual property rights is granted by this
document. Terms and limitations applicable to the purchase or use of AMD’s products are as set forth in a signed
agreement between the parties or in AMD's Standard Terms and Conditions of Sale. GD-18
©2020 Advanced Micro Devices, Inc. All rights reserved. AMD, the AMD Arrow logo, EPYC, RYZEN, Threadripper, Infinity
Fabric, and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other product names used in this
publication are for identification purposes only and may be trademarks of their respective companies.

More Related Content

What's hot

AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD
 
Broadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptxBroadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptx
Memory Fabric Forum
 
Evaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI SupercomputerEvaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI Supercomputer
George Markomanolis
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD
 
Lightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
Lightelligence: Optical CXL Interconnect for Large Scale Memory PoolingLightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
Lightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
Memory Fabric Forum
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
AMD
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
AMD
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
AMD
 
Chiplets in Data Centers
Chiplets in Data CentersChiplets in Data Centers
Chiplets in Data Centers
ODSA Workgroup
 
Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
AMD
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
Deepak Shankar
 
Hardware Acceleration for Machine Learning
Hardware Acceleration for Machine LearningHardware Acceleration for Machine Learning
Hardware Acceleration for Machine Learning
CastLabKAIST
 
Digital Design Flow
Digital Design FlowDigital Design Flow
Digital Design Flow
Mostafa Khamis
 
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
MIPI Alliance
 
CXL Consortium Update: Advancing Coherent Connectivity
CXL Consortium Update: Advancing Coherent ConnectivityCXL Consortium Update: Advancing Coherent Connectivity
CXL Consortium Update: Advancing Coherent Connectivity
Memory Fabric Forum
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
Leah Wilkinson
 
System On Chip
System On ChipSystem On Chip
System On Chip
A B Shinde
 
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Memory Fabric Forum
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip
A B Shinde
 

What's hot (20)

AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
 
Broadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptxBroadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptx
 
Evaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI SupercomputerEvaluating GPU programming Models for the LUMI Supercomputer
Evaluating GPU programming Models for the LUMI Supercomputer
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
 
Lightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
Lightelligence: Optical CXL Interconnect for Large Scale Memory PoolingLightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
Lightelligence: Optical CXL Interconnect for Large Scale Memory Pooling
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
 
Chiplets in Data Centers
Chiplets in Data CentersChiplets in Data Centers
Chiplets in Data Centers
 
Heterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D PackagingHeterogeneous Integration with 3D Packaging
Heterogeneous Integration with 3D Packaging
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Hardware Acceleration for Machine Learning
Hardware Acceleration for Machine LearningHardware Acceleration for Machine Learning
Hardware Acceleration for Machine Learning
 
Digital Design Flow
Digital Design FlowDigital Design Flow
Digital Design Flow
 
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
MIPI DevCon 2021: Meeting the Needs of Next-Generation Displays with a High-P...
 
CXL Consortium Update: Advancing Coherent Connectivity
CXL Consortium Update: Advancing Coherent ConnectivityCXL Consortium Update: Advancing Coherent Connectivity
CXL Consortium Update: Advancing Coherent Connectivity
 
Lec04 gpu architecture
Lec04 gpu architectureLec04 gpu architecture
Lec04 gpu architecture
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip
 

Similar to AMD Chiplet Architecture for High-Performance Server and Desktop Products

Toshiba 65nm Flyer
Toshiba 65nm FlyerToshiba 65nm Flyer
Toshiba 65nm Flyer
Sven Hegner
 
Disruptive Technologies
Disruptive TechnologiesDisruptive Technologies
Disruptive Technologies
Internet Society
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Arvind Kumar
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
Bharat Biyani
 
Design installation-commissioning-red raider-cluster-ttu
Design installation-commissioning-red raider-cluster-ttuDesign installation-commissioning-red raider-cluster-ttu
Design installation-commissioning-red raider-cluster-ttu
Alan Sill
 
Silicon to photonics optical interconnect routing: Compass EOS
Silicon to photonics optical interconnect routing: Compass EOSSilicon to photonics optical interconnect routing: Compass EOS
Silicon to photonics optical interconnect routing: Compass EOS
Asaf Somekh
 
Technology overview
Technology overviewTechnology overview
Technology overviewvirtuehm
 
Day 20.2 frame relay
Day 20.2 frame relay Day 20.2 frame relay
Day 20.2 frame relay
CYBERINTELLIGENTS
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
Rebekah Rodriguez
 
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerModular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Rebekah Rodriguez
 
SiFive SoC IP for HPC, AI and Networking
SiFive SoC IP for HPC, AI and NetworkingSiFive SoC IP for HPC, AI and Networking
SiFive SoC IP for HPC, AI and Networking
Aijaz Qaisar
 
BUD17 Socionext SC2A11 ARM Server SoC
BUD17 Socionext SC2A11 ARM Server SoCBUD17 Socionext SC2A11 ARM Server SoC
BUD17 Socionext SC2A11 ARM Server SoC
Linaro
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture Presentation
Deborah Porchivina
 
Introducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSPIntroducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSP
LatticeSemiconductor
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
Nabil Chouba
 
Icnd210 s02l02
Icnd210 s02l02Icnd210 s02l02
Icnd210 s02l02
computerlenguyen
 
Do SI Simulation, Also for Carbon Reduction
Do SI Simulation, Also for Carbon ReductionDo SI Simulation, Also for Carbon Reduction
Do SI Simulation, Also for Carbon Reduction
Nansen Chen
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
ijngnjournal
 
Arm Neoverse market update_05122020.pdf
Arm Neoverse market update_05122020.pdfArm Neoverse market update_05122020.pdf
Arm Neoverse market update_05122020.pdf
Paul Yang
 
Trends in next-generation data center interconnects (DCI)
Trends in next-generation data center interconnects (DCI)Trends in next-generation data center interconnects (DCI)
Trends in next-generation data center interconnects (DCI)
ADVA
 

Similar to AMD Chiplet Architecture for High-Performance Server and Desktop Products (20)

Toshiba 65nm Flyer
Toshiba 65nm FlyerToshiba 65nm Flyer
Toshiba 65nm Flyer
 
Disruptive Technologies
Disruptive TechnologiesDisruptive Technologies
Disruptive Technologies
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
Design installation-commissioning-red raider-cluster-ttu
Design installation-commissioning-red raider-cluster-ttuDesign installation-commissioning-red raider-cluster-ttu
Design installation-commissioning-red raider-cluster-ttu
 
Silicon to photonics optical interconnect routing: Compass EOS
Silicon to photonics optical interconnect routing: Compass EOSSilicon to photonics optical interconnect routing: Compass EOS
Silicon to photonics optical interconnect routing: Compass EOS
 
Technology overview
Technology overviewTechnology overview
Technology overview
 
Day 20.2 frame relay
Day 20.2 frame relay Day 20.2 frame relay
Day 20.2 frame relay
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
 
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerModular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
 
SiFive SoC IP for HPC, AI and Networking
SiFive SoC IP for HPC, AI and NetworkingSiFive SoC IP for HPC, AI and Networking
SiFive SoC IP for HPC, AI and Networking
 
BUD17 Socionext SC2A11 ARM Server SoC
BUD17 Socionext SC2A11 ARM Server SoCBUD17 Socionext SC2A11 ARM Server SoC
BUD17 Socionext SC2A11 ARM Server SoC
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture Presentation
 
Introducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSPIntroducing the CrossLink Programmable ASSP
Introducing the CrossLink Programmable ASSP
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Icnd210 s02l02
Icnd210 s02l02Icnd210 s02l02
Icnd210 s02l02
 
Do SI Simulation, Also for Carbon Reduction
Do SI Simulation, Also for Carbon ReductionDo SI Simulation, Also for Carbon Reduction
Do SI Simulation, Also for Carbon Reduction
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
 
Arm Neoverse market update_05122020.pdf
Arm Neoverse market update_05122020.pdfArm Neoverse market update_05122020.pdf
Arm Neoverse market update_05122020.pdf
 
Trends in next-generation data center interconnects (DCI)
Trends in next-generation data center interconnects (DCI)Trends in next-generation data center interconnects (DCI)
Trends in next-generation data center interconnects (DCI)
 

More from AMD

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022
AMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
AMD
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
AMD
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
AMD
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming Begins
AMD
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
AMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
AMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
AMD
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and Counting
AMD
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
AMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
AMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
AMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
AMD
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market Opportunity
AMD
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
AMD
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the Datacenter
AMD
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB Network
AMD
 

More from AMD (17)

AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022AMD EPYC Family World Record Performance Summary Mar 2022
AMD EPYC Family World Record Performance Summary Mar 2022
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World RecordAMD EPYC Family of Processors World Record
AMD EPYC Family of Processors World Record
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
 
AMD: Where Gaming Begins
AMD: Where Gaming BeginsAMD: Where Gaming Begins
AMD: Where Gaming Begins
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and CountingAMD EPYC 100 World Records and Counting
AMD EPYC 100 World Records and Counting
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
Race to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market OpportunityRace to Reality: The Next Billion-People Market Opportunity
Race to Reality: The Next Billion-People Market Opportunity
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
 
Enabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the DatacenterEnabling ARM® Server Technology for the Datacenter
Enabling ARM® Server Technology for the Datacenter
 
Lessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB NetworkLessons From MineCraft: Building the Right SMB Network
Lessons From MineCraft: Building the Right SMB Network
 

Recently uploaded

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
DanBrown980551
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
Product School
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
"Impact of front-end architecture on development cost", Viktor Turskyi
"Impact of front-end architecture on development cost", Viktor Turskyi"Impact of front-end architecture on development cost", Viktor Turskyi
"Impact of front-end architecture on development cost", Viktor Turskyi
Fwdays
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
OnBoard
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
UiPathCommunity
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
DianaGray10
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Tobias Schneck
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
Elena Simperl
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
Paul Groth
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
Product School
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
Abida Shariff
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
ThousandEyes
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
BookNet Canada
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
Jemma Hussein Allen
 

Recently uploaded (20)

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
"Impact of front-end architecture on development cost", Viktor Turskyi
"Impact of front-end architecture on development cost", Viktor Turskyi"Impact of front-end architecture on development cost", Viktor Turskyi
"Impact of front-end architecture on development cost", Viktor Turskyi
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 

AMD Chiplet Architecture for High-Performance Server and Desktop Products

  • 1. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 1 of 27 AMD Chiplet Architecture for High-Performance Server and Desktop Products Samuel Naffziger Presented at ISSCC 2020
  • 2. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 2 of 27 7nm Core Complex Die: 3.8 Billion FETs, 74 mm2 3rd Gen AMD RyzenTM Processor 2nd Gen AMD EPYCTM AMD X570 Chipset Client IO Die 2.09 Billion FETs, 125 mm2 Server IO Die 8.34 Billion FETs, 416 mm2 4xDDR 4xDDR 4 x16 PCIe/IFIP 4 x16 PCIe/IFIPIFOP IFOP IFOPIFOP 2xDDR PCIe IFOP IFOP L3 L3 Zen2cores Zen2cores Outline • Motivation and architectural goals • Engineering challenges and solutions • Silicon-package co-design • Die-to-die interconnects • Shared IO die architecture • Power distribution and management • Results 3rd Gen AMD RyzenTM ThreadripperTM Processor
  • 3. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 3 of 27 Motivation and Architectural Goals • This required – Exploiting advanced 7nm technology for better performance and performance/Watt – Packing more silicon into the package than traditional approaches enable • While also – Enabling scalable performance/$ up to performance levels otherwise not achievable – Improving memory and IO latency – Supporting leverage across markets by re-using IP and SOCs Primary goal: Achieve leadership performance, performance/Watt and performance/$ in server and desktop markets
  • 4. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 4 of 27 Background: Performance and Die Size Trend • Generational performance improvements are an exponential trend • Holding to this trend has required increasing core counts and die sizes • Bumping up against the reticle limit and becoming too costly 1X 10X 100X 2006 2009 2012 2014 2017 2020 ThroughputPerformanceRatio Specint®_rate2006 2P Server Performance Trend Over Time1 Goal: Above the historical trend line 0 200 400 600 800 1000 Oct-06 Jul-09 Apr-12 Dec-14 Sep-17 Jun-20 Mar-23 ThroughputPerformance Server CPU Dies sizes over time 1. Su, Lisa “Delivering the Future of High-Performance Computing”, Hot Chips 31 (2019)
  • 5. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 5 of 27 Exploiting 7nm Technology • Leadership performance requires 7nm benefits • Yet the cost of advanced technologies are increasing • Traditional approaches of large die sizes not viable • Innovation required 7nm Compute Efficiency Gains - 1.00 2.00 3.00 4.00 5.00 6.00 45nm 32nm 28nm 20nm 14/16nm 7nm 5nm NormalizeCost/Yieldedmm2 Cost Per Yielded mm2 for a 250mm2 Die 1. Based on June 8, 2018 AMD internal testing of same- architecture product ported from 14 to 7 nm technology with similar implementation flow/methodology, using performance from SGEMM. 2X DENSITY1 0.5X POWER1 (same performance) >1.25X FREQUENCY1 (same power)
  • 6. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 6 of 27 7nm Scaling • High-performance server and desktop processors are IO-heavy • Analog devices and bump pitches for IO benefit very little from leading edge technology, and that technology is very costly • Solution: Partition the SOC, reserving the expensive leading- edge silicon for CPU cores while leaving the IO and memory interfaces in N-1 generation silicon Prior Generation RYZEN™ Processor Die 7nm CCD is 86% CPU + L3IFOP SerDes L3 L3 Zen2cores Zen2cores DFx SMU • CPU core + L3 on this die comprises 56% of the area • These circuits see huge 7nm gains • Remaining 44% sees very little performance and density improvement from 7nm
  • 7. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 7 of 27 Chiplets Evolved – Hybrid Multi-die Architecture 1st Gen EPYCTraditional Monolithic Use the Most Advanced Technology Where it is Needed Most Each IP in its Optimal Technology, 2nd Gen Infinity Fabric™ Connected Centralized I/O Die Improves NUMA Superior Technology for CPU Performance and Power 2nd Gen EPYC
  • 8. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 8 of 27 Connecting the Chiplets • Silicon interposers and bridges provide high wire density, but have limited reach • Only supports die edge connectivity which limits number of chiplets and cores that can be supported • Performance goals required more Core Complex Die (CCDs) than can be tiled adjacent to the IOD • Solution is to retain the on- package SerDes links for die-die connections IOD CCD CCDCCD CCD Theoretical Interposer-based Selected MCM Approach Interposer
  • 9. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 9 of 27 CPU Compute Die (CCD) Floorplan 2 CCX core complexes – 4 core and 16MB L3 each – Comprise 86% of CCD area System Management Unit (SMU) – Microcontroller – Power management – Clocks and reset – Fuses – Thermal monitor and control Infinity Fabric On-Package (IFOP) Links – 14.6 GT/s (packing 10 bits at 1.46Ghz) – 39 RX lanes – 2 clock lanes – 1 clock gating lane – 31 TX lanes – 1 clock gating lane – 4 lanes for control traffic – 2 clock lanes DFT and Debug Wafer test bumps IFOPDFT SMU L3 L3 Core2 Core0 Core3 Core1 Core0 Core2 Core1 Core3
  • 10. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 10 of 27 Forwarded clocks Gen1 14nm Gen2 14nm IOD, 7nm CCD Max Per lane Datarate 14.6Gbps Max per lane datarate 6.4Gbp/s TX and RX T-Coil Local PHY Regulators PHY Regulated through package Synchronous clock crossing 50/100/200 Ohm drive strength and termination 50 Ohm fixed drive strength and termination Local clock alignment and global tracking 4:1 Serialization/ Deserialization 10:1 Serialization/ Deserialization Pseudo-Diff Single Ended Receiver VTT Termination Local CDR IFOP GEN2 KEY FEATURE SUMMARY AND COMPARISON I/ODDR Die2 CCX CCX I/O DDR Die1 CCX CCX I/O I/ODDR Die3 CCX CCX I/O DDR Die0 CCX CCX I/O I/OI/O Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD
  • 11. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 11 of 27 IFOP SerDes Architecture New Gen2 Features FCLK TXDRV TXCLKTXCLKTXCLKTX X40 TXCLKTXCLKTXCLKRX X32 PLLCLKRX TXCLKTXCLKTXCLKRX X40 TXCLKTXCLKTXCLKTX X32 FDO[38:0] FDI[30:0] FDI[38:0] FDO[30:0] DIFF TXCLK 8Ghz IOD CCD CCD FCLK C R C C R C QUAD RXCLK 8Ghz MCM Package Routes CCD CORE IOD CORE FWDFCLK[38:0] FCLK FILT QUAD RXCLK 8Ghz DIFF TXCLK 8Ghz FWDFCLK[30:0] PLL Serializer TX Trained Register Capture Calibration logic Clock generator TX lane Detail RX lane Detail FWDFCLK FDO[9:0] TXCLK RX De- serializer50Ω 50Ω + - VTT Phase Interpolator CDR Trained Low Latency FIFO Clock generator QUAD RXCLK Calibration Logic FDI[9:0] RX X40 Tcoil Tcoil
  • 12. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 12 of 27 Package Routing Challenges • Prior generation already consumed all package routing resources for memory and IO • Connecting 9 chiplets in the same package requires innovation I/ODDR Die2 CCX CCX I/O DDR Die1 CCX CCX I/O I/ODDR Die3 CCX CCX I/O DDR Die0 CCX CCX I/O I/OI/O 1st Gen AMD EPYC™ [Beck ISSCC 2018]
  • 13. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 13 of 27 CCD IODDDR DDR SERDES SERDES CCD CCD CCD CCD CCD CCD CCD Under-CCD Routing Routing Infinity Fabric on Package (IFOP) SerDes links from IOD to the 2-deep chiplets required sharing routing layers with off-package SerDes and competing with power delivery requirements
  • 14. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 14 of 27 Zen vs. Zen 2 VDDM Distribution Dense SRAMs require a separate rail Zen VDDM distribution via package plane Zen 2 VDDM distribution via RDL only RDL Package RDL
  • 15. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 15 of 27 Zen 2 VDDM Design Challenges • RDL is more resistive than a dedicated package layer • Therefore we reduced overall VDDM current draw by 80% compared to Zen ([Singh ISSCC 2020]) • New, smaller, and distributed LDO design • Ensured sufficient routing porosity through the integrated LDO’s to enable critical routing • These improvements kept the IR drop to ≈10mV impact Core +L2 L3 4MB slice 4 VDDM LDO’s inside the L3 LDO Core +L2 Core +L2 Core +L2 L3 4MB slice L3 4MB slice L3 4MB slice VDDM RDL spanning L2 and L3 Enables 80 IFOP package routed signals under the CCD
  • 16. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 16 of 27 Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Zen2 CCD Infinity Fabric (die-to-die) IO Controllers and PHYs 2 x DDR4 PHYs 72 Data + 8 Clk/Ctl (total/CCD) 128 total x16 SERDES Package Integration, Server, and Desktop • Bump pitch for 14nm and 7nm is 150um and 130um respectively • Transitioned IOD from solder bumps to copper pillars, enabling a common interface for IOD+CCD • Conducive to tighter bump pitches (compact) • Enabled common die height after assembly • Higher max current (electromigration) limits 3rd Gen AMD RyzenTM Processor 2nd Gen AMD EPYCTM Server Processor
  • 17. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 17 of 27 Operating System Scheduler Optimizations • Growing number of cores and the advent of chiplets resulted in a wider range of frequency responses to process, voltage and temperature variations – Up to 200MHz core-to-core Fmax upside within a CCD – Legacy boost approaches don’t take advantage of the faster cores • Preferred Core Ordering maximizes performance – New algorithm characterizes the capabilities of the cores at boot time under various system parameters and generates a list of cores in an order of frequency capability – The core ordering is modified according to the usage policy detected • Single threaded applications scheduled to the fastest cores • Multi-threaded applications scheduled toward the fastest core cluster (CCX), maximizing L3 cache sharing – This core ordering is expressed to the OS allowing for an efficient, dynamic, HW-directed selection of processors for a given workload
  • 18. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 18 of 27 Per-Core Linear Regulation Regulating the voltage per-core enables power savings by adapting the voltage to each core’s capability and compensating for power delivery gradients across-package 8 cores per chiplet, each with separate VDD 64 total core-specific voltages • Digitally controlled LDO enables setting voltage based on per-core speed capability for a given frequency • Droops mitigated with fast-response charge injection from RVDD for cores with a drop-out
  • 19. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 19 of 27 Clock Stretching and Per-Core Voltage • Droop detection with a fast analog comparator • Separate threshold for LDO Charge Injection (CI level) and for clock stretching (CKS) • These work synergistically to lower the required voltage for a given frequency VDD droop forces core stretch after 1 more full frequency period Clock stretch response rise-to-rise, is 150% period, 175% period, then 125% periods DROOP CCLK Same-frequency power savings through voltage reduction No LDO, no CKS 0% LDO only 19% CKS only 19% LDO and CKS 25% Based on AMD internal testing of 64C AMD EPYC "Rome" processor operating at 2.5GHz, synthetic di/dt pattern TDC VID IDD Idle EDC Bottom of LL VDDCORE0 (Core0 DLDO)VDDCORE1 (Core1 DLDO) VDDCORE2 (Core2 DLDO) VDDCORE7 (slowest)
  • 20. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 20 of 27 Prior Generation (EPYC™ 7001 Series Processors) 3 NUMA Distances 8 NUMA Domains Domain Latency1 (ns) NUMA1 90 NUMA2 141 NUMA3 234 Avg. Local2 128 Improving Memory Performance • Server memory latency is a key factor in performance • A goal for 2nd Gen AMD EPYCTM was to improve on the 2017 1st Gen EPYC™ design • Non-Uniform-Memory-Access (NUMA) behaviors are a result of memory interfaces being distributed across die • Significant deltas from NUMA1 to NUMA2 impact performance for some applications 1: AMD internal testing with DRAM page miss 2: 75% NUMA 2 + 25% NUMA 1 traffic mix
  • 21. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 21 of 27 2nd Gen AMD EPYCTM Improved Memory Latency • Central IOD enables a single NUMA domain per socket • Improved average memory latency1 by 24ns (19%)2 • Minimum (local) latency only increases 4ns with chiplet architecture G1 PCIe G0 PCIe G2 PCIe G3 PCIe S-Link to P1/P2 PCIe MA MBMC MD MH MG ME MF CCD1CCD0 CCD3CCD2 UMC0 UMC1 UMC3 UMC2 IO0 IO1 G0 xGMI G1 xGMI S-Link to P0/P3 PCIe IO2 IO3 P3 PCIe G3 xGMI G2 xGMI UMC4 UMC5 UMC7 UMC6 CCD4CCD5 CCD6CCD7 G2 PCIe G0 PCIeG3 PCIe P1P2 P0P3 P2 PCIe P0 PCIe P1 PCIe G1 xGMI IO1 G1 xGMI P1 PCIe G1 PCIe 1 2 4 3 CCD0, CCD1, IO0, CCD2, CCD3, IO1, CCD4, CCD5, IO2, CCD6, CCD7, IO3, MA/MB/MC/MD/ME/MF/MG/MH interleaved Single Domain  1.46GHz / DDR2933 (coupled)1  1: Local 94ns  2: ~97ns  3: ~104ns  4: ~114ns  Measured Avg: ~104ns Repeater: 1 FCLK (1.46GHz) Switch: 2 FCLK (1.46GHz) (low-load bypass, best-case) 1: AMD internal testing with DRAM page miss 2: EPYC 7002 Series NUMA 1 vs EPYC 7001 Series Avg. Local; EPYC 7002 Series NUMA2 vs EPYC 7001 Series NUMA 3
  • 22. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 22 of 27 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 64 Cores 48 Cores 32 Cores 24 Cores 16 Cores NormalizedDieCost Chiplet 7nm + 14nm Hypothetical Monolithic 7nm Dummy 2nd Gen AMD EPYCTM Chiplet Performance vs. Cost • Higher core counts and performance than possible with a monolithic design • Lower costs at all core count / performance points in the product line • Cost scales down with performance by depopulating chiplets • 14nm technology for IOD reduces the fixed cost
  • 23. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 23 of 27 0 0.5 1 1.5 2 2.5 16 cores 8 cores NormalizedDieCost Chiplet 7nm + 14nm Hypothetical Monolithic 7nm 3rd Gen AMD Ryzen™ Processor Chiplet Performance vs. Cost Similar cost savings and scalability for desktop Re-using the client IO die for the X570 Chipset expander enables optional additional connectivity for higher end systems • PCIe, SATA, USB
  • 24. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 24 of 27 Performance Results Chiplet architecture enables leadership performance and performance/Watt in server and desktop markets Metric at 105W TDP1 Ryzen 2700X (8C) Ryzen 3950X (16C) Improvement (%) Cinebench r15 1T 177 216 22% Cinebench r20 1T 434 527 21% Cinebench r15 NT 1802 3928 118% Cinebench r20 NT 4020 8862 120% 1T Fmax (Max Boost) 4.3 4.7 9% NT Base Freq (All-core)1 3.9 3.95 1% Metric EPYC 7601 (32C 2P 180W TDP) EPYC 7742 (64C 2P 225W TDP) Improvement (%) SPECrate®2017_int_base2 272 663 144% SPECrate®2017_fp_base2 259 511 97% NT Base Freq 2.2 2.5 14% 1. Testing as of 12/13/2019 by AMD Performance Labs using a Ryzen 9 3950X with 16 cores vs. a Ryzen 7 2700X with 8 cores in the Cinebench R20 1T benchmark test. Results may vary. RZ3-102 2: Results obtained from the SPEC® website as of Jan 3, 2020. EPYC 7601 SPECrate®2017_int_base: https://www.spec.org/cpu2017/results/res2017q4/cpu2017-20171114-00833.html EPYC 7601 SPECrate®2017_fp_base: https://www.spec.org/cpu2017/results/res2017q4/cpu2017-20171114-00845.html EPYC 7742 SPECrate®2017_int_base: https://www.spec.org/cpu2017/results/res2019q4/cpu2017-20191028-19261.html EPYC 7742 SPECrate®2017_fp_base: https://www.spec.org/cpu2017/results/res2019q4/cpu2017-20191028-19237.html More information about SPEC CPU® 2017 can be obtained from https://www.spec.org/cpu2017. SPEC®, SPEC CPU® and SPECrate® are registered trademarks of the Standard Performance Evaluation Corporation.
  • 25. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 25 of 27 Summary • Chiplet architecture has proven key to achieving leadership performance, performance/$ and performance/Watt across multiple market segments 4xDDR 4xDDR 4 x16 PCIe/IFIP 4 x16 PCIe/IFIPIFOP IFOP IFOPIFOP 2xDDR PCIe IFOP IFOP L3 L3 Zen2cores Zen2cores • Many significant innovations were required: • Package + Silicon co-design for optimizing complex routes and heterogeneous technology chiplet die • Package level fabric and interconnect architecture • Power delivery and voltage adaptation
  • 26. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 26 of 27 Acknowledgment We would like to thank our talented AMD design teams across Austin, Bangalore, Boston, Fort Collins, Hyderabad, Markham, Santa Clara, and Shanghai.
  • 27. 2.2 : AMD Chiplet Architecture for High-Performance Server and Desktop Products © 2020 IEEE International Solid-State Circuits Conference 27 of 27 Disclaimer and Endnotes DISCLAIMER The information contained herein is for informational purposes only, and is subject to change without notice. While every precaution has been taken in the preparation of this document, it may contain technical inaccuracies, omissions and typographical errors, and AMD is under no obligation to update or otherwise correct this information. Advanced Micro Devices, Inc. makes no representations or warranties with respect to the accuracy or completeness of the contents of this document, and assumes no liability of any kind, including the implied warranties of noninfringement, merchantability or fitness for particular purposes, with respect to the operation or use of AMD hardware, software or other products described herein. No license, including implied or arising by estoppel, to any intellectual property rights is granted by this document. Terms and limitations applicable to the purchase or use of AMD’s products are as set forth in a signed agreement between the parties or in AMD's Standard Terms and Conditions of Sale. GD-18 ©2020 Advanced Micro Devices, Inc. All rights reserved. AMD, the AMD Arrow logo, EPYC, RYZEN, Threadripper, Infinity Fabric, and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other product names used in this publication are for identification purposes only and may be trademarks of their respective companies.