SlideShare a Scribd company logo
1 of 9
Clock divider by 3
C Ashok Reddy
Clock divide by 3
 I am going to explain how to design clock divide by 3 using
digital logic element such as FF and universal gates.
 A divide by 3 clock requires a mod 3 counter.
 It can be constructed by using 2 FF(22 ) where the power of two
represents the no of FF required for mod 3 counter.
 The no of states required for mod counter is three states 00, 01,
10 and the final state is xx.
 The output of the clock divide by three is not 50% duty cycle.
The duty cycle will be 75% if the output is 1,1,0
C Ashok Reddy
Current state Next state
Output
Qb Qa Qb+ Qa+
0 0 0 1 1
0 1 1 0 1
1 0 0 0 0
x x x x x
• How to realize the micro
architecture for the clock divide
by3.
• The table represents the current
state, next state and output at
each state.
• How to realize the equations for
the input of FFA, FFB and output
is shown in the next slide
Da’
Db’
C Ashok Reddy
1 0
0 x
Qa
Qb 0 1
0
1
Da=Qa’Qb’
0 0
1 x
Qa
Qb 0 1
0
1
Db=Qa
1 0
1 x
Qa
Qb 0 1
0
1
Out=Qa+Qb’
The K-Map realization for input FFA The K-Map realization for input FFB
The K-Map realization for output of the FFB
Clock divide by 3
C Ashok Reddy
 The micro architecture of the clock divide by 3 is
Clock divide by 3
DA QA
QA
’
DB QB
QB
’Clk Clk
Da=Qa’Qb’
Db=Qb
Out=Qa+Qb’
Reset
Clock
C Ashok Reddy
Input : Clock and reset
Output : clk_out
Clock divide by 3
 Timing diagram for clock divide by 3 without 50% duty cycle
C Ashok Reddy
 To get 50% duty cycle the out of the FFB will as input to the negative
edge trigger FF.
 The output of the third FF and the output of the second FF is given as
input to the OR gate.
Clock divide by 3
DA QA
QA
’
DB QB
QB
’Clk Clk
DC QC
QC
’Clk
Reset
Clock
C Ashok Reddy
Input : Clock and reset
Output : clk_out
Clock divide by 3
 Timing diagram for clock divide by 3 with 50% duty cycle
 In the same can implement other odd clock dividers such as clock
divider 5, clock divider 7 and etc…
C Ashok Reddy
C Ashok Reddy

More Related Content

What's hot

System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
Nirav Desai
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)
alexis_meca
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
Nirav Desai
 

What's hot (20)

System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
VERILOG HDL :: Blocking & NON- Blocking assignments
VERILOG HDL :: Blocking & NON- Blocking assignments VERILOG HDL :: Blocking & NON- Blocking assignments
VERILOG HDL :: Blocking & NON- Blocking assignments
 
Counters In Digital Logic Design
Counters In Digital Logic DesignCounters In Digital Logic Design
Counters In Digital Logic Design
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clock
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)
 
dft
dftdft
dft
 
Power Gating
Power GatingPower Gating
Power Gating
 
規格書で読むC++11のスレッド
規格書で読むC++11のスレッド規格書で読むC++11のスレッド
規格書で読むC++11のスレッド
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter
 
Design and Implementation of Synchronous FIFO Interfaced with RAM.pptx
Design and Implementation of Synchronous FIFO Interfaced with RAM.pptxDesign and Implementation of Synchronous FIFO Interfaced with RAM.pptx
Design and Implementation of Synchronous FIFO Interfaced with RAM.pptx
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Digital Clock Using Logic Gates
Digital Clock Using Logic GatesDigital Clock Using Logic Gates
Digital Clock Using Logic Gates
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous reset
 
Verilog operators.pptx
Verilog  operators.pptxVerilog  operators.pptx
Verilog operators.pptx
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 

Viewers also liked

Viewers also liked (16)

Genset/ Generator Set 1400KVA
Genset/ Generator Set 1400KVAGenset/ Generator Set 1400KVA
Genset/ Generator Set 1400KVA
 
Surgical & Non-surgical Treatment
Surgical & Non-surgical TreatmentSurgical & Non-surgical Treatment
Surgical & Non-surgical Treatment
 
Neoclasico renni parica
Neoclasico renni paricaNeoclasico renni parica
Neoclasico renni parica
 
Ponència visita alcalde
Ponència visita alcaldePonència visita alcalde
Ponència visita alcalde
 
Paid social media jobs
Paid social media jobsPaid social media jobs
Paid social media jobs
 
Psychological Outcomes in Cosmetic Surgery
Psychological Outcomes in Cosmetic SurgeryPsychological Outcomes in Cosmetic Surgery
Psychological Outcomes in Cosmetic Surgery
 
Efficient way to manage environments in AWS
Efficient way to manage environments in AWS Efficient way to manage environments in AWS
Efficient way to manage environments in AWS
 
Glosario melissa
Glosario melissaGlosario melissa
Glosario melissa
 
Alumnes marta hermo ppt
Alumnes marta hermo pptAlumnes marta hermo ppt
Alumnes marta hermo ppt
 
Facebook Statistics
Facebook StatisticsFacebook Statistics
Facebook Statistics
 
Understanding digital signal processing by Richard G.Lyons
Understanding digital signal processing by Richard G.LyonsUnderstanding digital signal processing by Richard G.Lyons
Understanding digital signal processing by Richard G.Lyons
 
Chi square
Chi squareChi square
Chi square
 
Actividad para trabajar fonemas
Actividad para trabajar fonemas Actividad para trabajar fonemas
Actividad para trabajar fonemas
 
Te amo mama
Te amo mamaTe amo mama
Te amo mama
 
Annual Report
Annual ReportAnnual Report
Annual Report
 
Come ottenere una consulenza utile per far crescere davvero la tua azienda
Come ottenere una consulenza utile per far crescere davvero la tua aziendaCome ottenere una consulenza utile per far crescere davvero la tua azienda
Come ottenere una consulenza utile per far crescere davvero la tua azienda
 

Similar to Clock divide by 3

combinational-circuit (1).ppt
combinational-circuit (1).pptcombinational-circuit (1).ppt
combinational-circuit (1).ppt
ThanmayiKumar
 

Similar to Clock divide by 3 (20)

Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav RaikarDigital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
 
Ch3
Ch3Ch3
Ch3
 
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLDesign and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
 
combinational-circuit (1).ppt
combinational-circuit (1).pptcombinational-circuit (1).ppt
combinational-circuit (1).ppt
 
counters and registers
counters and registerscounters and registers
counters and registers
 
counters_and_registers_5 lecture fifth.ppt
counters_and_registers_5 lecture fifth.pptcounters_and_registers_5 lecture fifth.ppt
counters_and_registers_5 lecture fifth.ppt
 
EET107_Chapter 3_SLD(part2.1)-edit1.ppt
EET107_Chapter 3_SLD(part2.1)-edit1.pptEET107_Chapter 3_SLD(part2.1)-edit1.ppt
EET107_Chapter 3_SLD(part2.1)-edit1.ppt
 
15CS32 ADE Module 5
15CS32 ADE Module 515CS32 ADE Module 5
15CS32 ADE Module 5
 
Counter And Sequencer Design- Student
Counter And Sequencer Design- StudentCounter And Sequencer Design- Student
Counter And Sequencer Design- Student
 
Lecture-32-33.pptx
Lecture-32-33.pptxLecture-32-33.pptx
Lecture-32-33.pptx
 
Digital Electronics (EC8392) UNIT-II -PPT-S.SESHA VIDHYA/ ASP/ECE
Digital Electronics (EC8392) UNIT-II -PPT-S.SESHA VIDHYA/ ASP/ECEDigital Electronics (EC8392) UNIT-II -PPT-S.SESHA VIDHYA/ ASP/ECE
Digital Electronics (EC8392) UNIT-II -PPT-S.SESHA VIDHYA/ ASP/ECE
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
Chapter 5 counter1
Chapter 5 counter1Chapter 5 counter1
Chapter 5 counter1
 
9920Lec12 FSM.ppt
9920Lec12 FSM.ppt9920Lec12 FSM.ppt
9920Lec12 FSM.ppt
 
A TRAFFIC LIGHT CONTROL SYSTEM USING PROGRAMMABLE LOGIC CONTROLLER
A TRAFFIC LIGHT CONTROL SYSTEM USING PROGRAMMABLE LOGIC CONTROLLERA TRAFFIC LIGHT CONTROL SYSTEM USING PROGRAMMABLE LOGIC CONTROLLER
A TRAFFIC LIGHT CONTROL SYSTEM USING PROGRAMMABLE LOGIC CONTROLLER
 
Ijecet 06 07_005
Ijecet 06 07_005Ijecet 06 07_005
Ijecet 06 07_005
 
EC8392 Digital Electronics- Unit-3 -S.Sesha Vidhya-ASP-ECE-RMKCET
EC8392 Digital Electronics- Unit-3 -S.Sesha Vidhya-ASP-ECE-RMKCETEC8392 Digital Electronics- Unit-3 -S.Sesha Vidhya-ASP-ECE-RMKCET
EC8392 Digital Electronics- Unit-3 -S.Sesha Vidhya-ASP-ECE-RMKCET
 
Sequential Circuits-ppt_2.pdf
Sequential Circuits-ppt_2.pdfSequential Circuits-ppt_2.pdf
Sequential Circuits-ppt_2.pdf
 
Combinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint PresentationCombinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint Presentation
 
F010113644
F010113644F010113644
F010113644
 

Recently uploaded

Artificial intelligence presentation2-171219131633.pdf
Artificial intelligence presentation2-171219131633.pdfArtificial intelligence presentation2-171219131633.pdf
Artificial intelligence presentation2-171219131633.pdf
Kira Dess
 
Seizure stage detection of epileptic seizure using convolutional neural networks
Seizure stage detection of epileptic seizure using convolutional neural networksSeizure stage detection of epileptic seizure using convolutional neural networks
Seizure stage detection of epileptic seizure using convolutional neural networks
IJECEIAES
 
electrical installation and maintenance.
electrical installation and maintenance.electrical installation and maintenance.
electrical installation and maintenance.
benjamincojr
 

Recently uploaded (20)

15-Minute City: A Completely New Horizon
15-Minute City: A Completely New Horizon15-Minute City: A Completely New Horizon
15-Minute City: A Completely New Horizon
 
Seismic Hazard Assessment Software in Python by Prof. Dr. Costas Sachpazis
Seismic Hazard Assessment Software in Python by Prof. Dr. Costas SachpazisSeismic Hazard Assessment Software in Python by Prof. Dr. Costas Sachpazis
Seismic Hazard Assessment Software in Python by Prof. Dr. Costas Sachpazis
 
Augmented Reality (AR) with Augin Software.pptx
Augmented Reality (AR) with Augin Software.pptxAugmented Reality (AR) with Augin Software.pptx
Augmented Reality (AR) with Augin Software.pptx
 
Artificial Intelligence in due diligence
Artificial Intelligence in due diligenceArtificial Intelligence in due diligence
Artificial Intelligence in due diligence
 
Intro to Design (for Engineers) at Sydney Uni
Intro to Design (for Engineers) at Sydney UniIntro to Design (for Engineers) at Sydney Uni
Intro to Design (for Engineers) at Sydney Uni
 
Artificial intelligence presentation2-171219131633.pdf
Artificial intelligence presentation2-171219131633.pdfArtificial intelligence presentation2-171219131633.pdf
Artificial intelligence presentation2-171219131633.pdf
 
Raashid final report on Embedded Systems
Raashid final report on Embedded SystemsRaashid final report on Embedded Systems
Raashid final report on Embedded Systems
 
Adsorption (mass transfer operations 2) ppt
Adsorption (mass transfer operations 2) pptAdsorption (mass transfer operations 2) ppt
Adsorption (mass transfer operations 2) ppt
 
UNIT-2 image enhancement.pdf Image Processing Unit 2 AKTU
UNIT-2 image enhancement.pdf Image Processing Unit 2 AKTUUNIT-2 image enhancement.pdf Image Processing Unit 2 AKTU
UNIT-2 image enhancement.pdf Image Processing Unit 2 AKTU
 
Seizure stage detection of epileptic seizure using convolutional neural networks
Seizure stage detection of epileptic seizure using convolutional neural networksSeizure stage detection of epileptic seizure using convolutional neural networks
Seizure stage detection of epileptic seizure using convolutional neural networks
 
Interfacing Analog to Digital Data Converters ee3404.pdf
Interfacing Analog to Digital Data Converters ee3404.pdfInterfacing Analog to Digital Data Converters ee3404.pdf
Interfacing Analog to Digital Data Converters ee3404.pdf
 
electrical installation and maintenance.
electrical installation and maintenance.electrical installation and maintenance.
electrical installation and maintenance.
 
Filters for Electromagnetic Compatibility Applications
Filters for Electromagnetic Compatibility ApplicationsFilters for Electromagnetic Compatibility Applications
Filters for Electromagnetic Compatibility Applications
 
History of Indian Railways - the story of Growth & Modernization
History of Indian Railways - the story of Growth & ModernizationHistory of Indian Railways - the story of Growth & Modernization
History of Indian Railways - the story of Growth & Modernization
 
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptxSLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
 
Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1
 
Independent Solar-Powered Electric Vehicle Charging Station
Independent Solar-Powered Electric Vehicle Charging StationIndependent Solar-Powered Electric Vehicle Charging Station
Independent Solar-Powered Electric Vehicle Charging Station
 
Dynamo Scripts for Task IDs and Space Naming.pptx
Dynamo Scripts for Task IDs and Space Naming.pptxDynamo Scripts for Task IDs and Space Naming.pptx
Dynamo Scripts for Task IDs and Space Naming.pptx
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
 
Diploma Engineering Drawing Qp-2024 Ece .pdf
Diploma Engineering Drawing Qp-2024 Ece .pdfDiploma Engineering Drawing Qp-2024 Ece .pdf
Diploma Engineering Drawing Qp-2024 Ece .pdf
 

Clock divide by 3

  • 1. Clock divider by 3 C Ashok Reddy
  • 2. Clock divide by 3  I am going to explain how to design clock divide by 3 using digital logic element such as FF and universal gates.  A divide by 3 clock requires a mod 3 counter.  It can be constructed by using 2 FF(22 ) where the power of two represents the no of FF required for mod 3 counter.  The no of states required for mod counter is three states 00, 01, 10 and the final state is xx.  The output of the clock divide by three is not 50% duty cycle. The duty cycle will be 75% if the output is 1,1,0 C Ashok Reddy
  • 3. Current state Next state Output Qb Qa Qb+ Qa+ 0 0 0 1 1 0 1 1 0 1 1 0 0 0 0 x x x x x • How to realize the micro architecture for the clock divide by3. • The table represents the current state, next state and output at each state. • How to realize the equations for the input of FFA, FFB and output is shown in the next slide Da’ Db’ C Ashok Reddy
  • 4. 1 0 0 x Qa Qb 0 1 0 1 Da=Qa’Qb’ 0 0 1 x Qa Qb 0 1 0 1 Db=Qa 1 0 1 x Qa Qb 0 1 0 1 Out=Qa+Qb’ The K-Map realization for input FFA The K-Map realization for input FFB The K-Map realization for output of the FFB Clock divide by 3 C Ashok Reddy
  • 5.  The micro architecture of the clock divide by 3 is Clock divide by 3 DA QA QA ’ DB QB QB ’Clk Clk Da=Qa’Qb’ Db=Qb Out=Qa+Qb’ Reset Clock C Ashok Reddy
  • 6. Input : Clock and reset Output : clk_out Clock divide by 3  Timing diagram for clock divide by 3 without 50% duty cycle C Ashok Reddy
  • 7.  To get 50% duty cycle the out of the FFB will as input to the negative edge trigger FF.  The output of the third FF and the output of the second FF is given as input to the OR gate. Clock divide by 3 DA QA QA ’ DB QB QB ’Clk Clk DC QC QC ’Clk Reset Clock C Ashok Reddy
  • 8. Input : Clock and reset Output : clk_out Clock divide by 3  Timing diagram for clock divide by 3 with 50% duty cycle  In the same can implement other odd clock dividers such as clock divider 5, clock divider 7 and etc… C Ashok Reddy