SlideShare a Scribd company logo
ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011

                 SUB. CODE: EC2357       QUESTION PAPER          SUB: VLSI DESIGN LAB

      TIME DURATION: 3 HOURS                                            MAXIMUM MARKS: 100



 1. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

2. a. Simulate a PRBS generator using Xilinx ISE 9.1i
   b. Implement a half adder using XC3S400 FPGA trainer kit.

3. a. Simulate an accumulator using Xilinx ISE 9.1i
  b. Implement a full adder using XC3S400 FPGA trainer kit.

4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
   b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

6. a. Simulate a universal shift register using Xilinx ISE 9.1i
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
   b. Implement a full subtractor using XC3S400 FPGA trainer kit

9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit

10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
        also the dissipated power after adding 0.01 pf capacitor at the output.
    b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE
       file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and
        and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

13. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

14 a. Simulate a PRBS generator using Xilinx ISE 9.1
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

15. a. Simulate an accumulator using Xilinx ISE 9.1i
    b. Implement a full subtractor using XC3S400 FPGA trainer kit.

16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
    b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit.

18. a. Simulate a universal shift register using Xilinx ISE 9.1i
    b. Implement a half adder using XC3S400 FPGA trainer kit.

19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
    b. Implement a full adder using XC3S400 FPGA trainer kit.
21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
       also the dissipated power after adding 0.1 pf capacitor at the output.
    b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i




23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the
       Spice file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and
       and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

More Related Content

What's hot

Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
anand hd
 
Verilog code for decoder
Verilog code for decoderVerilog code for decoder
Verilog code for decoder
Rakesh kumar jha
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
Abhilash Nair
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
anand hd
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
SHIYAMALASUBRAMANI1
 
F# for C# Programmers
F# for C# ProgrammersF# for C# Programmers
F# for C# Programmers
Scott Wlaschin
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
Sameh El-Ashry
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier Design
Bharat Biyani
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
Sameh El-Ashry
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
Dr. Swaminathan Kathirvel
 
LET US C (5th EDITION) CHAPTER 1 ANSWERS
LET US C (5th EDITION) CHAPTER 1 ANSWERSLET US C (5th EDITION) CHAPTER 1 ANSWERS
LET US C (5th EDITION) CHAPTER 1 ANSWERS
KavyaSharma65
 
Snake Game on FPGA in Verilog
Snake Game on FPGA in VerilogSnake Game on FPGA in Verilog
Snake Game on FPGA in Verilog
Krishnajith S S
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1
Semi Design
 
C programming
C programmingC programming
C programming
Sivakumar Palani
 
ADC 4 bit using HSIPCE
ADC 4 bit using HSIPCEADC 4 bit using HSIPCE
ADC 4 bit using HSIPCE
VN Trần
 
Xilinx design flow -By BhargavTarpara
Xilinx design flow -By BhargavTarparaXilinx design flow -By BhargavTarpara
Xilinx design flow -By BhargavTarparaNirav Desai
 
Design and Verification of 4 X 4 Wallace Tree Multiplier
Design and Verification of 4 X 4 Wallace Tree MultiplierDesign and Verification of 4 X 4 Wallace Tree Multiplier
Design and Verification of 4 X 4 Wallace Tree Multiplier
Mohd Esa
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 

What's hot (20)

Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
 
Verilog code for decoder
Verilog code for decoderVerilog code for decoder
Verilog code for decoder
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
 
F# for C# Programmers
F# for C# ProgrammersF# for C# Programmers
F# for C# Programmers
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier Design
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
LET US C (5th EDITION) CHAPTER 1 ANSWERS
LET US C (5th EDITION) CHAPTER 1 ANSWERSLET US C (5th EDITION) CHAPTER 1 ANSWERS
LET US C (5th EDITION) CHAPTER 1 ANSWERS
 
Snake Game on FPGA in Verilog
Snake Game on FPGA in VerilogSnake Game on FPGA in Verilog
Snake Game on FPGA in Verilog
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1
 
C programming
C programmingC programming
C programming
 
ASIC
ASICASIC
ASIC
 
ADC 4 bit using HSIPCE
ADC 4 bit using HSIPCEADC 4 bit using HSIPCE
ADC 4 bit using HSIPCE
 
Xilinx design flow -By BhargavTarpara
Xilinx design flow -By BhargavTarparaXilinx design flow -By BhargavTarpara
Xilinx design flow -By BhargavTarpara
 
Design and Verification of 4 X 4 Wallace Tree Multiplier
Design and Verification of 4 X 4 Wallace Tree MultiplierDesign and Verification of 4 X 4 Wallace Tree Multiplier
Design and Verification of 4 X 4 Wallace Tree Multiplier
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 

Similar to VLSI Anna University Practical Examination

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments IIGouthaman V
 
great_cow_basic_part18.pptx
great_cow_basic_part18.pptxgreat_cow_basic_part18.pptx
great_cow_basic_part18.pptx
BogdanAlexandruRusu1
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13Krish s
 
03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days
Alexandre Moneger
 
CorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingCorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. Programming
Slide_N
 
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesFortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
Jeff Larkin
 
Scikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonScikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in Python
Microsoft
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1komala vani
 
Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)
PUSHPALATHAV1
 
17445 linear integrated circuits
17445   linear integrated circuits17445   linear integrated circuits
17445 linear integrated circuits
soni_nits
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docx
danhaley45372
 
Integrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesIntegrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetes
Claus Ibsen
 

Similar to VLSI Anna University Practical Examination (12)

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments II
 
great_cow_basic_part18.pptx
great_cow_basic_part18.pptxgreat_cow_basic_part18.pptx
great_cow_basic_part18.pptx
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13
 
03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days
 
CorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingCorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. Programming
 
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesFortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
 
Scikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonScikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in Python
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1
 
Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)
 
17445 linear integrated circuits
17445   linear integrated circuits17445   linear integrated circuits
17445 linear integrated circuits
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docx
 
Integrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesIntegrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetes
 

More from Gouthaman V

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment IIGouthaman V
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2Gouthaman V
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementGouthaman V
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Gouthaman V
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMWGouthaman V
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papersGouthaman V
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonatorGouthaman V
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits IIGouthaman V
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time TableGouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IGouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IGouthaman V
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsGouthaman V
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentGouthaman V
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II QuestionsGouthaman V
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questionsGouthaman V
 
Combinational circuits II outputs
Combinational circuits II outputsCombinational circuits II outputs
Combinational circuits II outputs
Gouthaman V
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE BGouthaman V
 

More from Gouthaman V (20)

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment II
 
Dip Unit Test-I
Dip Unit Test-IDip Unit Test-I
Dip Unit Test-I
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys Placement
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMW
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papers
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonator
 
HCL IPT
HCL IPTHCL IPT
HCL IPT
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits II
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time Table
 
Email
EmailEmail
Email
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II Questions
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experiment
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II Questions
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questions
 
Combinational circuits II outputs
Combinational circuits II outputsCombinational circuits II outputs
Combinational circuits II outputs
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE B
 

Recently uploaded

Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Thiyagu K
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
JosvitaDsouza2
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
Pavel ( NSTU)
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
Jisc
 
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXXPhrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
MIRIAMSALINAS13
 
Basic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumersBasic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumers
PedroFerreira53928
 
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
AzmatAli747758
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
EverAndrsGuerraGuerr
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
Atul Kumar Singh
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
The geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideasThe geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideas
GeoBlogs
 
Digital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and ResearchDigital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and Research
Vikramjit Singh
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
Nguyen Thanh Tu Collection
 
2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...
Sandy Millin
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
BhavyaRajput3
 

Recently uploaded (20)

Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
 
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXXPhrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
Phrasal Verbs.XXXXXXXXXXXXXXXXXXXXXXXXXX
 
Basic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumersBasic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumers
 
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
The geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideasThe geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideas
 
Digital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and ResearchDigital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and Research
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
 
2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
 

VLSI Anna University Practical Examination

  • 1. ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011 SUB. CODE: EC2357 QUESTION PAPER SUB: VLSI DESIGN LAB TIME DURATION: 3 HOURS MAXIMUM MARKS: 100 1. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 2. a. Simulate a PRBS generator using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 3. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit. 4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and and simulate it. b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 6. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half subtractor using XC3S400 FPGA trainer kit. 7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit 9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit 10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.01 pf capacitor at the output. b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
  • 2. 11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE file. b. Simulate a T flip flop using Xilinx ISE 9.1i 12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i 13. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 14 a. Simulate a PRBS generator using Xilinx ISE 9.1 b. Implement a half subtractor using XC3S400 FPGA trainer kit. 15. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit. 16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and and simulate it. b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit. 18. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit.
  • 3. 21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.1 pf capacitor at the output. b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i 23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the Spice file. b. Simulate a T flip flop using Xilinx ISE 9.1i 24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i