SlideShare a Scribd company logo
1 of 14
Following are the steps for writing and simulating VHDL code in Xilinx ISE environment.
1) Create New project from File Menu.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
2) Give the file name
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
3) Select options as shown below:
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
4) Press ‘Next’ two times in the follow-up windows and then ‘Finish’ at last.
5) Select New Source by clicking on project in sources window as shown below:
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
6) Select VHDL Module and name the file. Then click Next
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
7) Give port declarations as we do in entity. Then click Next. And then Finish.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
8) VHDL Code is presented on the screen. Now we just have to write the code in architecture.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
9) Select New Source by clicking on project in sources and then select Test Bench Waveform and name the file. Then Click Next two times
and then Finish.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
10) A new window appears as shown below. For combinational circuits select combinatorial clock.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
11) Set the input waveforms by clicking on the waveform at different time intervals.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
12) Select Behavioural Simulation from sources window.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
13) Under Processes window simulate the file.
14) The output simulations is observed at last.
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in
Compiled By: Naveen Kumar
Email: chd.naveen@gmail.com
Info-techgeek.blogspot.in

More Related Content

What's hot (20)

CISC & RISC Architecture
CISC & RISC Architecture CISC & RISC Architecture
CISC & RISC Architecture
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
PLA
PLAPLA
PLA
 
Instruction Set of 8051 Microcontroller
Instruction Set of 8051 MicrocontrollerInstruction Set of 8051 Microcontroller
Instruction Set of 8051 Microcontroller
 
Wireless E-Notice Board Using Bluetooth Report.docx
Wireless E-Notice Board Using Bluetooth Report.docxWireless E-Notice Board Using Bluetooth Report.docx
Wireless E-Notice Board Using Bluetooth Report.docx
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
 
MOS logic family
MOS logic familyMOS logic family
MOS logic family
 
Unit 5
Unit 5Unit 5
Unit 5
 
Intel 8051 - pin description
Intel 8051  - pin descriptionIntel 8051  - pin description
Intel 8051 - pin description
 
8096 microcontrollers notes
8096 microcontrollers notes8096 microcontrollers notes
8096 microcontrollers notes
 
Assembly 8086
Assembly 8086Assembly 8086
Assembly 8086
 
Parallel Adder
Parallel Adder Parallel Adder
Parallel Adder
 
Intel 8051 Programming in C
Intel 8051 Programming in CIntel 8051 Programming in C
Intel 8051 Programming in C
 
Pic 18 microcontroller
Pic 18 microcontrollerPic 18 microcontroller
Pic 18 microcontroller
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital Electronics
 
Pipeline hazard
Pipeline hazardPipeline hazard
Pipeline hazard
 
8051 io interface
8051 io interface8051 io interface
8051 io interface
 
JK flip flops
JK flip flopsJK flip flops
JK flip flops
 
System bus timing 8086
System bus timing 8086System bus timing 8086
System bus timing 8086
 
Bus interconnection
Bus interconnectionBus interconnection
Bus interconnection
 

Viewers also liked

All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDFUR11EC098
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments IGouthaman V
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesRicardo Castro
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL Amr Rashed
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDLEutectics
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015E2MATRIX
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdlRaj Mohan
 
VLSI Anna University Practical Examination
VLSI Anna University Practical ExaminationVLSI Anna University Practical Examination
VLSI Anna University Practical ExaminationGouthaman V
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013Vision Solutions
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller systemVinny Chweety
 
Home automation using FPGA controller
Home automation  using FPGA controller Home automation  using FPGA controller
Home automation using FPGA controller Ajay1120539
 
Modeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApModeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApEr. Ashish Pandey
 
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE IJRISE Journal
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationAmber Bhaumik
 
Mips implementation
Mips implementationMips implementation
Mips implementationhoang974
 

Viewers also liked (20)

Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDF
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdl
 
VLSI Anna University Practical Examination
VLSI Anna University Practical ExaminationVLSI Anna University Practical Examination
VLSI Anna University Practical Examination
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller system
 
Home automation using FPGA controller
Home automation  using FPGA controller Home automation  using FPGA controller
Home automation using FPGA controller
 
VHDL
VHDLVHDL
VHDL
 
Modeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApModeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By Ap
 
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE
HOME AUTOMATION SYSTEM VIA INTERNET USING ANDROID PHONE
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
Mips implementation
Mips implementationMips implementation
Mips implementation
 

Similar to VHDL coding in Xilinx

Software industrialization
Software industrializationSoftware industrialization
Software industrializationBibek Lama
 
Prep 2-booklet-2nd-term-2016-2017
Prep 2-booklet-2nd-term-2016-2017Prep 2-booklet-2nd-term-2016-2017
Prep 2-booklet-2nd-term-2016-2017khawagah
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure pptSiripurapu Sridhar
 
Visual c++ 2010_tutorial
Visual c++ 2010_tutorialVisual c++ 2010_tutorial
Visual c++ 2010_tutorialAyub Abd Rahman
 
05-ArchL-Vivado Quickguide.pdf
05-ArchL-Vivado Quickguide.pdf05-ArchL-Vivado Quickguide.pdf
05-ArchL-Vivado Quickguide.pdfXimenaLindoPea
 
Jenkins CI/CD setup for iOS in Mac OSX
Jenkins CI/CD setup for iOS in Mac OSXJenkins CI/CD setup for iOS in Mac OSX
Jenkins CI/CD setup for iOS in Mac OSXGagan Vishal Mishra
 
X unit testing framework with c# and vs code
X unit testing framework with c# and vs codeX unit testing framework with c# and vs code
X unit testing framework with c# and vs codeShashank Tiwari
 
Code repository management
Code repository managementCode repository management
Code repository managementCloud Analogy
 
Containers Lab
Containers Lab Containers Lab
Containers Lab Dev_Events
 
Step by step installation of microsoft dynamics 365 finance and operations on...
Step by step installation of microsoft dynamics 365 finance and operations on...Step by step installation of microsoft dynamics 365 finance and operations on...
Step by step installation of microsoft dynamics 365 finance and operations on...Umesh Pandit
 
Steps how to create active x using visual studio 2008
Steps how to create active x using visual studio 2008Steps how to create active x using visual studio 2008
Steps how to create active x using visual studio 2008Yudep Apoi
 
Intro to analytics & Rstudio
Intro to analytics & RstudioIntro to analytics & Rstudio
Intro to analytics & RstudioLutfi Hidayat
 
generate IP CORES
generate IP CORESgenerate IP CORES
generate IP CORESguest296013
 
Getting started with code composer studio v4 for tms320 f2812
Getting started with code composer studio v4 for tms320 f2812Getting started with code composer studio v4 for tms320 f2812
Getting started with code composer studio v4 for tms320 f2812Pantech ProLabs India Pvt Ltd
 

Similar to VHDL coding in Xilinx (20)

Cp e 214_appendix_c
Cp e 214_appendix_cCp e 214_appendix_c
Cp e 214_appendix_c
 
Software industrialization
Software industrializationSoftware industrialization
Software industrialization
 
Prep 2-booklet-2nd-term-2016-2017
Prep 2-booklet-2nd-term-2016-2017Prep 2-booklet-2nd-term-2016-2017
Prep 2-booklet-2nd-term-2016-2017
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure ppt
 
Visual c++ 2010_tutorial
Visual c++ 2010_tutorialVisual c++ 2010_tutorial
Visual c++ 2010_tutorial
 
05-ArchL-Vivado Quickguide.pdf
05-ArchL-Vivado Quickguide.pdf05-ArchL-Vivado Quickguide.pdf
05-ArchL-Vivado Quickguide.pdf
 
Using idoc method in lsmw
Using idoc method in lsmwUsing idoc method in lsmw
Using idoc method in lsmw
 
Jenkins CI/CD setup for iOS in Mac OSX
Jenkins CI/CD setup for iOS in Mac OSXJenkins CI/CD setup for iOS in Mac OSX
Jenkins CI/CD setup for iOS in Mac OSX
 
ASP DOT NET
ASP DOT NETASP DOT NET
ASP DOT NET
 
X unit testing framework with c# and vs code
X unit testing framework with c# and vs codeX unit testing framework with c# and vs code
X unit testing framework with c# and vs code
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Code repository management
Code repository managementCode repository management
Code repository management
 
Containers Lab
Containers Lab Containers Lab
Containers Lab
 
Step by step installation of microsoft dynamics 365 finance and operations on...
Step by step installation of microsoft dynamics 365 finance and operations on...Step by step installation of microsoft dynamics 365 finance and operations on...
Step by step installation of microsoft dynamics 365 finance and operations on...
 
Steps how to create active x using visual studio 2008
Steps how to create active x using visual studio 2008Steps how to create active x using visual studio 2008
Steps how to create active x using visual studio 2008
 
Jdkeclipsevs
JdkeclipsevsJdkeclipsevs
Jdkeclipsevs
 
Intro to analytics & Rstudio
Intro to analytics & RstudioIntro to analytics & Rstudio
Intro to analytics & Rstudio
 
generate IP CORES
generate IP CORESgenerate IP CORES
generate IP CORES
 
Getting started with code composer studio v4 for tms320 f2812
Getting started with code composer studio v4 for tms320 f2812Getting started with code composer studio v4 for tms320 f2812
Getting started with code composer studio v4 for tms320 f2812
 
Ecad final
Ecad finalEcad final
Ecad final
 

More from Naveen Kumar

Security in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksSecurity in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksNaveen Kumar
 
Mobile tower radiation
Mobile tower radiationMobile tower radiation
Mobile tower radiationNaveen Kumar
 
Ph.D Research proposal
Ph.D Research proposalPh.D Research proposal
Ph.D Research proposalNaveen Kumar
 
Cell Phone Antennas
Cell Phone AntennasCell Phone Antennas
Cell Phone AntennasNaveen Kumar
 
Optimization in HFSS
Optimization in HFSSOptimization in HFSS
Optimization in HFSSNaveen Kumar
 
Free space optical communication
Free space optical communicationFree space optical communication
Free space optical communicationNaveen Kumar
 
A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane Naveen Kumar
 
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Naveen Kumar
 
A novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsA novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsNaveen Kumar
 
A compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeA compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeNaveen Kumar
 
Secure Socket Layer
Secure Socket LayerSecure Socket Layer
Secure Socket LayerNaveen Kumar
 
Adaptive Resonance Theory
Adaptive Resonance TheoryAdaptive Resonance Theory
Adaptive Resonance TheoryNaveen Kumar
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIPNaveen Kumar
 

More from Naveen Kumar (20)

Security in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) NetworksSecurity in GSM(2G) and UMTS(3G) Networks
Security in GSM(2G) and UMTS(3G) Networks
 
Mobile tower radiation
Mobile tower radiationMobile tower radiation
Mobile tower radiation
 
Mobile security
Mobile securityMobile security
Mobile security
 
Ph.D Research proposal
Ph.D Research proposalPh.D Research proposal
Ph.D Research proposal
 
Wi-Fi Technology
Wi-Fi TechnologyWi-Fi Technology
Wi-Fi Technology
 
Cell Phone Antennas
Cell Phone AntennasCell Phone Antennas
Cell Phone Antennas
 
Thesis on PIFA
Thesis on PIFAThesis on PIFA
Thesis on PIFA
 
Electronics Quiz
Electronics QuizElectronics Quiz
Electronics Quiz
 
Optimization in HFSS
Optimization in HFSSOptimization in HFSS
Optimization in HFSS
 
Free space optical communication
Free space optical communicationFree space optical communication
Free space optical communication
 
A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane A Multi-Band PIFA with Slotted Ground Plane
A Multi-Band PIFA with Slotted Ground Plane
 
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices Study of Planar Inverted - F Antenna (PIFA) for mobile devices
Study of Planar Inverted - F Antenna (PIFA) for mobile devices
 
A novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsetsA novel low profile planar inverted f antenna (pifa) for mobile handsets
A novel low profile planar inverted f antenna (pifa) for mobile handsets
 
A compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground planeA compact planar inverted-F antenna with slotted ground plane
A compact planar inverted-F antenna with slotted ground plane
 
Secure Socket Layer
Secure Socket LayerSecure Socket Layer
Secure Socket Layer
 
Adaptive Resonance Theory
Adaptive Resonance TheoryAdaptive Resonance Theory
Adaptive Resonance Theory
 
UART
UARTUART
UART
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIP
 
AR model
AR modelAR model
AR model
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 

Recently uploaded

Emotional Freedom Technique Tapping Points Diagram.pdf
Emotional Freedom Technique Tapping Points Diagram.pdfEmotional Freedom Technique Tapping Points Diagram.pdf
Emotional Freedom Technique Tapping Points Diagram.pdfaprilross605
 
communication-skills-training-excerpt.pdf
communication-skills-training-excerpt.pdfcommunication-skills-training-excerpt.pdf
communication-skills-training-excerpt.pdfErmiyas33
 
Social Learning Theory presentation.pptx
Social Learning Theory presentation.pptxSocial Learning Theory presentation.pptx
Social Learning Theory presentation.pptxumef01177
 
March 2023 Recommendations for newsletter
March 2023 Recommendations for newsletterMarch 2023 Recommendations for newsletter
March 2023 Recommendations for newsletterssuserdfec6a
 
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdf
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdfExploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdf
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdfMindful Wellness Journey
 
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptx
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptxSIKP311 Sikolohiyang Pilipino - Ginhawa.pptx
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptxStephenMino
 
2023 - Between Philosophy and Practice: Introducing Yoga
2023 - Between Philosophy and Practice: Introducing Yoga2023 - Between Philosophy and Practice: Introducing Yoga
2023 - Between Philosophy and Practice: Introducing YogaRaphaël Semeteys
 
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...Cara Menggugurkan Kandungan 087776558899
 
February 2024 Recommendations for newsletter
February 2024 Recommendations for newsletterFebruary 2024 Recommendations for newsletter
February 2024 Recommendations for newsletterssuserdfec6a
 

Recently uploaded (9)

Emotional Freedom Technique Tapping Points Diagram.pdf
Emotional Freedom Technique Tapping Points Diagram.pdfEmotional Freedom Technique Tapping Points Diagram.pdf
Emotional Freedom Technique Tapping Points Diagram.pdf
 
communication-skills-training-excerpt.pdf
communication-skills-training-excerpt.pdfcommunication-skills-training-excerpt.pdf
communication-skills-training-excerpt.pdf
 
Social Learning Theory presentation.pptx
Social Learning Theory presentation.pptxSocial Learning Theory presentation.pptx
Social Learning Theory presentation.pptx
 
March 2023 Recommendations for newsletter
March 2023 Recommendations for newsletterMarch 2023 Recommendations for newsletter
March 2023 Recommendations for newsletter
 
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdf
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdfExploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdf
Exploring Stoic Philosophy From Ancient Wisdom to Modern Relevance.pdf
 
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptx
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptxSIKP311 Sikolohiyang Pilipino - Ginhawa.pptx
SIKP311 Sikolohiyang Pilipino - Ginhawa.pptx
 
2023 - Between Philosophy and Practice: Introducing Yoga
2023 - Between Philosophy and Practice: Introducing Yoga2023 - Between Philosophy and Practice: Introducing Yoga
2023 - Between Philosophy and Practice: Introducing Yoga
 
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...
KLINIK BATA Jual obat penggugur kandungan 087776558899 ABORSI JANIN KEHAMILAN...
 
February 2024 Recommendations for newsletter
February 2024 Recommendations for newsletterFebruary 2024 Recommendations for newsletter
February 2024 Recommendations for newsletter
 

VHDL coding in Xilinx

  • 1. Following are the steps for writing and simulating VHDL code in Xilinx ISE environment. 1) Create New project from File Menu. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 2. 2) Give the file name Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 3. 3) Select options as shown below: Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 4. 4) Press ‘Next’ two times in the follow-up windows and then ‘Finish’ at last. 5) Select New Source by clicking on project in sources window as shown below: Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 5. 6) Select VHDL Module and name the file. Then click Next Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 6. 7) Give port declarations as we do in entity. Then click Next. And then Finish. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 7. 8) VHDL Code is presented on the screen. Now we just have to write the code in architecture. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 8. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 9. 9) Select New Source by clicking on project in sources and then select Test Bench Waveform and name the file. Then Click Next two times and then Finish. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 10. 10) A new window appears as shown below. For combinational circuits select combinatorial clock. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 11. 11) Set the input waveforms by clicking on the waveform at different time intervals. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 12. 12) Select Behavioural Simulation from sources window. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 13. 13) Under Processes window simulate the file. 14) The output simulations is observed at last. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in
  • 14. Compiled By: Naveen Kumar Email: chd.naveen@gmail.com Info-techgeek.blogspot.in