The document discusses the benefits of protocol aware automatic test equipment (ATE) compared to traditional ATE. Protocol aware ATE would allow testers to interact with devices under test using the same protocol level of abstraction as designers, making testing easier and reducing development cycles. It provides examples showing how protocol aware ATE could speed up silicon bring-up and debug by enabling direct register reads and writes using protocols instead of low-level vectors. This would help address issues of non-deterministic device behavior from processes like cycle slipping.
Clock Tree Synthesis is a technique for distributing the clock equally among all sequential parts of a VLSI design. The purpose of Clock Tree Synthesis is to reduce skew and delay. Clock Tree Synthesis is provided the placement data as well as the clock tree limitations as input. Clock Tree Synthesis (CTS) is the technique of balancing the clock delay to all clock inputs by inserting buffers/inverters along the clock routes of an ASIC design. As a result, CTS is used to balance the skew and reduce insertion latency. Before Clock Tree Synthesis, all clock pins were driven by a single clock source. Clock tree synthesis includes both clock tree construction and clock tree balance. Clock tree inverters may be used to create a clock tree that maintains the correct transition (duty cycle), and clock tree buffers (CTB) can balance the clock tree to fulfil the skew and latency requirements. To fulfil the space and power limits, fewer clock tree inverters and buffers should be employed.
These slides cover the fundamentals of data communication & networking. It covers Channel Capacity It is useful for engineering students & also for the candidates who want to master data communication & computer networking.
This document discusses coding style guidelines for logic synthesis. It begins with basic concepts of logic synthesis such as converting a high-level design to a gate-level representation using a standard cell library. It then discusses synthesizable Verilog constructs and coding techniques to improve synthesis like using non-blocking assignments in sequential logic blocks. The document also provides guidelines for coding constructs like if-else statements, case statements, always blocks and loops to make the design easily synthesizable. Memory synthesis approaches and techniques for designing clocks and resets are also covered.
Timing and Design Closure in Physical Design Flows Olivier Coudert
A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.
This document discusses low power VLSI design challenges and solutions. It motivates the need for low power design due to increasing power densities in VLSI chips and limited battery capacities. Sources of power dissipation in CMOS VLSI circuits are discussed including dynamic power during switching, static leakage power, and short circuit power. The document outlines various low power design methodologies at circuit, logic, architecture and software levels like reducing switching activity, glitch power reduction, gated clocking, reducing switched capacitance, using variable threshold voltages, and software optimizations.
The document discusses the VLSI lab and its goals of designing and simulating CMOS inverter circuits using CAD tools. It describes the necessary hardware, software, and foundry resources needed. The design steps are outlined as schematic creation, layout design, DRC checks, parasitic extraction, and post-layout simulation. A list of experiments is provided focusing on logic gates, flip flops, multiplexers, and sequential circuits. The document also discusses the Microwind tool for circuit layout and simulation and provides tutorials on MOS devices and design rules for the layout process.
Clock Tree Synthesis is a technique for distributing the clock equally among all sequential parts of a VLSI design. The purpose of Clock Tree Synthesis is to reduce skew and delay. Clock Tree Synthesis is provided the placement data as well as the clock tree limitations as input. Clock Tree Synthesis (CTS) is the technique of balancing the clock delay to all clock inputs by inserting buffers/inverters along the clock routes of an ASIC design. As a result, CTS is used to balance the skew and reduce insertion latency. Before Clock Tree Synthesis, all clock pins were driven by a single clock source. Clock tree synthesis includes both clock tree construction and clock tree balance. Clock tree inverters may be used to create a clock tree that maintains the correct transition (duty cycle), and clock tree buffers (CTB) can balance the clock tree to fulfil the skew and latency requirements. To fulfil the space and power limits, fewer clock tree inverters and buffers should be employed.
These slides cover the fundamentals of data communication & networking. It covers Channel Capacity It is useful for engineering students & also for the candidates who want to master data communication & computer networking.
This document discusses coding style guidelines for logic synthesis. It begins with basic concepts of logic synthesis such as converting a high-level design to a gate-level representation using a standard cell library. It then discusses synthesizable Verilog constructs and coding techniques to improve synthesis like using non-blocking assignments in sequential logic blocks. The document also provides guidelines for coding constructs like if-else statements, case statements, always blocks and loops to make the design easily synthesizable. Memory synthesis approaches and techniques for designing clocks and resets are also covered.
Timing and Design Closure in Physical Design Flows Olivier Coudert
A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.
This document discusses low power VLSI design challenges and solutions. It motivates the need for low power design due to increasing power densities in VLSI chips and limited battery capacities. Sources of power dissipation in CMOS VLSI circuits are discussed including dynamic power during switching, static leakage power, and short circuit power. The document outlines various low power design methodologies at circuit, logic, architecture and software levels like reducing switching activity, glitch power reduction, gated clocking, reducing switched capacitance, using variable threshold voltages, and software optimizations.
The document discusses the VLSI lab and its goals of designing and simulating CMOS inverter circuits using CAD tools. It describes the necessary hardware, software, and foundry resources needed. The design steps are outlined as schematic creation, layout design, DRC checks, parasitic extraction, and post-layout simulation. A list of experiments is provided focusing on logic gates, flip flops, multiplexers, and sequential circuits. The document also discusses the Microwind tool for circuit layout and simulation and provides tutorials on MOS devices and design rules for the layout process.
Level sensitive scan design(LSSD) and Boundry scan(BS)Praveen Kumar
This presentation contains,
Introduction,design for testability, scan chain, operation, scan structure, test vectors, Boundry scan, test logic, operation, BS cell, states of TAP controller, Boundry scan instructions.
Those slides describe digital design using Verilog HDL,
starting with Design methodologies for any digital circuit then difference between s/w (C/C++) and H/w (Verilog) and the most important constructs that let us start hardware design using Verilog HDL.
This document provides an overview of digital design and Verilog. It discusses binary numbers and boolean algebra as the foundation of digital systems. It also describes logic gates, combinational and sequential circuits, finite state machines, and datapath and control units. Finally, it introduces Verilog, describing different modeling types like gate level, behavioral, dataflow, and switch level modeling. It positions Verilog as a hardware description language used to more easily design digital circuits compared to manual drawing.
This document discusses low power electronic design and VLSI power architecture. It covers topics such as VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is described as a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling of flip-flops. New trends in clock gating using techniques like stability condition and observability don't care are also summarized.
This document introduces VLSI physical design. It discusses how the number of transistors on chips has rapidly increased over time, from 100,000 to over 3 million. Complex chip design is now only possible using computer-aided design tools. The document outlines the different levels of abstraction in chip design from behavioral modeling down to the cell/mask level. It provides examples of logical and architectural design, and discusses the different layout styles including full-custom, gate-array, and standard-cell approaches.
Logic synthesis is the process of converting a high-level design description into an optimized gate-level representation using a standard cell library and design constraints. The process involves translating the RTL description into an unoptimized internal representation, optimizing the logic, technology mapping, and producing an optimized gate-level netlist. An example logic synthesis flow is described for a 4-bit magnitude comparator design from RTL to optimized gates.
The document summarizes key aspects of CPU and processor design, including:
1) It describes the stages of a MIPS pipeline, including instruction fetch, decode, execute, memory access, and write back.
2) It discusses hazards like structure hazards from conflicting resources, data hazards from instructions depending on previous results, and control hazards from branches.
3) Pipelining is introduced to improve performance by overlapping instruction execution, but it requires techniques like forwarding to address hazards between stages.
The document describes conventions and signals used in the AMBA 3 APB protocol specification version 1.0. It summarizes write and read transfer procedures, including optional wait states using the PREADY signal. Error responses are also described. The operating states of the APB include IDLE, SETUP, and ACCESS states. PREADY controls exiting the ACCESS state.
Tasks and functions allow designers to abstract commonly used Verilog code into reusable routines. Tasks can contain timing constructs and pass multiple values through input, output, and inout arguments. Functions must not contain timing constructs and return a single value. Tasks are similar to subroutines while functions are similar to functions in other languages like FORTRAN. Automatic tasks make tasks re-entrant to avoid issues with concurrent calls operating on shared variables.
Design-for-Test (Testing of VLSI Design)Usha Mehta
This document provides an acknowledgement and thanks to various professors and scientists for their work that contributed to the content in this presentation on emerging technologies in testing. It then provides an overview of topics related to testing quality, economics of testing, testability, design-for-test, and different digital testing techniques including ad-hoc methods, structured methods like scan testing and built-in self-test (BIST).
The document discusses the structure and components of field programmable gate arrays (FPGAs). FPGAs consist of programmable logic blocks, interconnects, and input/output blocks. The logic blocks contain lookup tables and flip flops that can be programmed to implement desired logic functions. The interconnects include vertical and horizontal routing channels and switch boxes that allow the logic blocks to be connected as needed. The input/output blocks provide interfaces between the FPGA and external devices.
This document discusses RISC vs CISC architectures and the Harvard and von Neumann computer architectures. It provides examples of multiplying two numbers in memory using CISC and RISC approaches. CISC uses complex instructions that perform multiple operations, while RISC breaks operations into simpler instructions. Harvard architecture separates program and data memory while von Neumann uses shared memory.
Setup and hold time violation in flip-flopsJong Hwan Shin
When using a flip-flop, flip-flop should have constant input during setup time and hold time. This slide explains setup time violation and hold time violation in flip-flops.
The document discusses the instruction set of the 8086 microprocessor. It describes the different types of instructions including data transfer, arithmetic, logic, shift/rotate, branch, loop, and string instructions. It provides details on common instructions like MOV, ADD, SUB, MUL, DIV, CMP, INC, DEC, NEG, CBW and CWD. Examples of assembly language programs are given to perform operations like addition, subtraction, multiplication, division, comparison etc. of 8-bit, 16-bit and 32-bit numbers.
Chapter 2 instructions language of the computerBATMUNHMUNHZAYA
The document discusses the MIPS instruction set architecture. It describes the different types of instructions including arithmetic, logical, and conditional instructions. It explains the register-based and memory-based operands, immediate operands, and how instructions are encoded in binary machine code. Key aspects like simplicity, regularity, and optimization for common cases are emphasized in the design of the MIPS ISA.
The AXI protocol specification describes an advanced bus architecture with burst-based transactions using separate address/control and data phases over independent channels. It supports features like out-of-order transaction completion, exclusive access for atomic operations, cache coherency, and a low power interface. The AXI protocol is commonly used in System-on-Chip designs for high performance embedded processors and peripherals.
The document discusses different methods of encoding and modulating digital and analog signals for transmission. It covers digital-to-digital encoding techniques like unipolar, polar, Manchester and differential Manchester encoding. It also discusses analog-to-digital conversion techniques like PAM and PCM. Finally, it discusses analog-to-analog modulation techniques like AM, FM and PM and how they modulate parameters of a carrier signal to transmit an analog signal.
The document discusses synchronous and asynchronous clocks. A clock is a square wave signal generated by an oscillator that provides two levels, high and low. Clocks are used to time signals in circuits to avoid glitches, which are unpredictable outputs caused by differences in propagation delays. There are two types of clocks: synchronous clocks have the same phase but frequencies may differ, while asynchronous clocks have different phases and frequencies may also differ. Asynchronous clocks can cause glitches, so synchronization is needed to make clocks operate synchronously.
IC Test Handlers Industry ConsolidationWilliam Huo
The document discusses consolidation before and after some event. It seems to compare two different situations or states related to consolidation, with one coming before and the other coming after whatever event triggered the change in state. Unfortunately there are no other details provided in the document to give more specific context about what is being consolidated or what event caused the change.
The document describes Cogent ATE's Leopard A Series Analog and Mixed-Signal Test System. It aims to provide low-cost, high-performance multi-site testing through its Floating Quad-Site Testing architecture. This allows independent testing of up to 4 devices simultaneously while avoiding interference through electrically isolated test sites. The system supports a wide range of analog and mixed-signal devices and can scale from single-site to multi-site testing through its Automatic Test Replication technology.
Level sensitive scan design(LSSD) and Boundry scan(BS)Praveen Kumar
This presentation contains,
Introduction,design for testability, scan chain, operation, scan structure, test vectors, Boundry scan, test logic, operation, BS cell, states of TAP controller, Boundry scan instructions.
Those slides describe digital design using Verilog HDL,
starting with Design methodologies for any digital circuit then difference between s/w (C/C++) and H/w (Verilog) and the most important constructs that let us start hardware design using Verilog HDL.
This document provides an overview of digital design and Verilog. It discusses binary numbers and boolean algebra as the foundation of digital systems. It also describes logic gates, combinational and sequential circuits, finite state machines, and datapath and control units. Finally, it introduces Verilog, describing different modeling types like gate level, behavioral, dataflow, and switch level modeling. It positions Verilog as a hardware description language used to more easily design digital circuits compared to manual drawing.
This document discusses low power electronic design and VLSI power architecture. It covers topics such as VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is described as a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling of flip-flops. New trends in clock gating using techniques like stability condition and observability don't care are also summarized.
This document introduces VLSI physical design. It discusses how the number of transistors on chips has rapidly increased over time, from 100,000 to over 3 million. Complex chip design is now only possible using computer-aided design tools. The document outlines the different levels of abstraction in chip design from behavioral modeling down to the cell/mask level. It provides examples of logical and architectural design, and discusses the different layout styles including full-custom, gate-array, and standard-cell approaches.
Logic synthesis is the process of converting a high-level design description into an optimized gate-level representation using a standard cell library and design constraints. The process involves translating the RTL description into an unoptimized internal representation, optimizing the logic, technology mapping, and producing an optimized gate-level netlist. An example logic synthesis flow is described for a 4-bit magnitude comparator design from RTL to optimized gates.
The document summarizes key aspects of CPU and processor design, including:
1) It describes the stages of a MIPS pipeline, including instruction fetch, decode, execute, memory access, and write back.
2) It discusses hazards like structure hazards from conflicting resources, data hazards from instructions depending on previous results, and control hazards from branches.
3) Pipelining is introduced to improve performance by overlapping instruction execution, but it requires techniques like forwarding to address hazards between stages.
The document describes conventions and signals used in the AMBA 3 APB protocol specification version 1.0. It summarizes write and read transfer procedures, including optional wait states using the PREADY signal. Error responses are also described. The operating states of the APB include IDLE, SETUP, and ACCESS states. PREADY controls exiting the ACCESS state.
Tasks and functions allow designers to abstract commonly used Verilog code into reusable routines. Tasks can contain timing constructs and pass multiple values through input, output, and inout arguments. Functions must not contain timing constructs and return a single value. Tasks are similar to subroutines while functions are similar to functions in other languages like FORTRAN. Automatic tasks make tasks re-entrant to avoid issues with concurrent calls operating on shared variables.
Design-for-Test (Testing of VLSI Design)Usha Mehta
This document provides an acknowledgement and thanks to various professors and scientists for their work that contributed to the content in this presentation on emerging technologies in testing. It then provides an overview of topics related to testing quality, economics of testing, testability, design-for-test, and different digital testing techniques including ad-hoc methods, structured methods like scan testing and built-in self-test (BIST).
The document discusses the structure and components of field programmable gate arrays (FPGAs). FPGAs consist of programmable logic blocks, interconnects, and input/output blocks. The logic blocks contain lookup tables and flip flops that can be programmed to implement desired logic functions. The interconnects include vertical and horizontal routing channels and switch boxes that allow the logic blocks to be connected as needed. The input/output blocks provide interfaces between the FPGA and external devices.
This document discusses RISC vs CISC architectures and the Harvard and von Neumann computer architectures. It provides examples of multiplying two numbers in memory using CISC and RISC approaches. CISC uses complex instructions that perform multiple operations, while RISC breaks operations into simpler instructions. Harvard architecture separates program and data memory while von Neumann uses shared memory.
Setup and hold time violation in flip-flopsJong Hwan Shin
When using a flip-flop, flip-flop should have constant input during setup time and hold time. This slide explains setup time violation and hold time violation in flip-flops.
The document discusses the instruction set of the 8086 microprocessor. It describes the different types of instructions including data transfer, arithmetic, logic, shift/rotate, branch, loop, and string instructions. It provides details on common instructions like MOV, ADD, SUB, MUL, DIV, CMP, INC, DEC, NEG, CBW and CWD. Examples of assembly language programs are given to perform operations like addition, subtraction, multiplication, division, comparison etc. of 8-bit, 16-bit and 32-bit numbers.
Chapter 2 instructions language of the computerBATMUNHMUNHZAYA
The document discusses the MIPS instruction set architecture. It describes the different types of instructions including arithmetic, logical, and conditional instructions. It explains the register-based and memory-based operands, immediate operands, and how instructions are encoded in binary machine code. Key aspects like simplicity, regularity, and optimization for common cases are emphasized in the design of the MIPS ISA.
The AXI protocol specification describes an advanced bus architecture with burst-based transactions using separate address/control and data phases over independent channels. It supports features like out-of-order transaction completion, exclusive access for atomic operations, cache coherency, and a low power interface. The AXI protocol is commonly used in System-on-Chip designs for high performance embedded processors and peripherals.
The document discusses different methods of encoding and modulating digital and analog signals for transmission. It covers digital-to-digital encoding techniques like unipolar, polar, Manchester and differential Manchester encoding. It also discusses analog-to-digital conversion techniques like PAM and PCM. Finally, it discusses analog-to-analog modulation techniques like AM, FM and PM and how they modulate parameters of a carrier signal to transmit an analog signal.
The document discusses synchronous and asynchronous clocks. A clock is a square wave signal generated by an oscillator that provides two levels, high and low. Clocks are used to time signals in circuits to avoid glitches, which are unpredictable outputs caused by differences in propagation delays. There are two types of clocks: synchronous clocks have the same phase but frequencies may differ, while asynchronous clocks have different phases and frequencies may also differ. Asynchronous clocks can cause glitches, so synchronization is needed to make clocks operate synchronously.
IC Test Handlers Industry ConsolidationWilliam Huo
The document discusses consolidation before and after some event. It seems to compare two different situations or states related to consolidation, with one coming before and the other coming after whatever event triggered the change in state. Unfortunately there are no other details provided in the document to give more specific context about what is being consolidated or what event caused the change.
The document describes Cogent ATE's Leopard A Series Analog and Mixed-Signal Test System. It aims to provide low-cost, high-performance multi-site testing through its Floating Quad-Site Testing architecture. This allows independent testing of up to 4 devices simultaneously while avoiding interference through electrically isolated test sites. The system supports a wide range of analog and mixed-signal devices and can scale from single-site to multi-site testing through its Automatic Test Replication technology.
Automated hardware testing system using Python. The system includes an embedded test hardware module that can measure voltage, current, resistance and test protocols. Python scripts control the hardware, run test cases, collect results and generate reports. This provides a low-cost automated solution compared to expensive automated test equipment. Test reports show pass/fail results and help locate hardware and software issues.
A pattern is a collection of data that precisely describes the activity of each tester pin at bus clock resolution. It is generated from a test simulation trace and is specific to each tester. A pattern contains pindefs, vecdefs, vectors, comments and labels. Pindefs define the connection between pattern data and tester channels. Vecdefs define the sequences for each pin. Vectors contain the actual tester data for each period. Comments provide information and labels allow jumping to specific points. Patterns contain reset/initialization routines, the test pattern itself with multiple vectors, and subroutine memory.
The document compares different types of testers used for debugging components, including S9K, IMS Vanguard, and CWMA testers, describing their key features such as speed, operating system, memory size, and capabilities for timing, patterns, and levels of testing. It also provides overviews of tester channel connections, functional test content and tools, and terms and definitions used for testing.
2008 Asts Technical Paper Protocol Aware Ate SubmittedEric Larson
1. Modern semiconductor devices often behave in a non-deterministic manner during testing on automatic test equipment (ATE) due to the use of asynchronous IP blocks and industry standard protocols.
2. Current ATE architectures assume deterministic behavior and have difficulty handling variations in timing and output order, resulting in long test times and inadequate fault coverage.
3. A proposed solution is a protocol aware ATE that can natively emulate real-time chip I/O at the protocol level, enabling more complete functional testing similar to "mission mode" operation.
The document summarizes a report by PricewaterhouseCoopers on China's impact on the global semiconductor industry. Some key findings from the report include:
- China's semiconductor consumption market grew 23% in 2007 to $88 billion, accounting for over one-third of the global market.
- China's IC market is now growing at the expense of other countries as its consumption exceeds 33.8% of the global IC market.
- While China's domestic semiconductor industry is growing, it remains less concentrated than the global industry, with the top 50 Chinese semiconductor companies accounting for just over half of China's semiconductor revenue.
This document describes ATE test services offered in China at significantly lower costs than in the US. Engineering hourly and weekly rates are 50% lower, and turn-key test solutions including test planning, debugging, programming, and production support are available. Customized solutions can further reduce costs for production testing through techniques like dedicated test modules that minimize loadboard layers and ATE instruments needed.
Track g semiconductor test program - testinsightchiportal
This document discusses challenges in semiconductor testing and opportunities to improve test program management. It identifies issues such as lack of visibility into what is tested in production and which test program versions are used. It then proposes several solutions like enabling collaborative test development, enforcing company test methodologies, analyzing and merging test programs, and closing the loop between test program development and production to improve quality.
This document discusses quantifying shmoo plot results by defining a metric called Shmoo Quality (SQ). SQ is defined as the area of the pass region in a shmoo plot. Quantifying SQ allows direct comparison of shmoo plots and trend analysis of SQ over time or process variations. An example is given where SQ is calculated for shmoo plots of three golden devices before and after changes, demonstrating up to 163% improved SQ. Quantifying shmoo results provides benefits for product and test engineering.
This document provides sample questions and exercises that could be used to evaluate potential testers during the hiring process. It covers four main areas: testing mindset, basic testing skills, test automation, and test management. For each area, it provides examples of open-ended questions and hands-on exercises to assess a candidate's testing knowledge and abilities. It also provides a scoring guide to evaluate candidates' responses. The goal is to evaluate candidates' testing competencies in under an hour as part of a broader recruitment and assessment process.
Automated Testing for Embedded Software in C or C++Lars Thorup
This document discusses automated testing for embedded C software. It introduces Lars Thorup and provides an agenda for the document. It then defines automated testing, describes the Unity testing framework for embedded C, and provides an example of how to handle dependencies in testing. It advocates for continuous integration, breaking dependencies through abstraction and injection, and explains how automated testing can improve software quality through faster development and preventing bugs.
Michael Doble managed the successful development of a new product called the SiteAppliance. He developed a business plan and requirements, secured $3.2 million in financing, and oversaw a 3 year development process. After its 2000 release, the SiteAppliance exceeded $100 million in sales within 5 years by addressing declining markets and creating the industry's first automated network management solution.
System on Chip (SoC) design aims to integrate heterogeneous components like processors, memory, analog circuits onto a single chip to achieve benefits like lower cost, power consumption, and physical size. Moore's law has allowed increasing transistor counts on chips over decades. Early SoCs integrated thousands of gates while modern ones integrate millions of transistors. Challenges include meeting time-to-market demands and managing increasing design complexity and verification requirements. Solutions involve raising the design process to higher abstraction levels, reusing pre-verified intellectual property blocks from different vendors to simplify verification.
Acme Packet Presentation Materials for VUC June 18th 2010Michael Graves
1) The document discusses Acme Packet's enterprise session border controller (SBC) solutions which control four IP network borders, including SIP trunking, private networks, public internet, and hosted services.
2) It provides an overview of Acme Packet's SBC product portfolio including the Net-Net product family and their session capacity, throughput, and features for securing SIP trunking and enabling interoperability.
3) The SBC helps secure SIP trunking by acting as an application layer gateway, providing dynamic port control, full SIP firewalling, and DDOS protection to establish a "defense in depth" security model for SIP trunk traffic.
The document summarizes developments made to a System on Chip (SoC) to support higher order QAM modulation for wireless systems operating at 38 GHz. Key developments include:
1) Increasing the resolution of analog-to-digital converters and digital-to-analog converters from 8-10 bits to 10-12 bits to support 64QAM modulation.
2) Design improvements to suppress internal clock jitter to less than ±50 picoseconds to enable effective operation with higher resolution converters.
3) Development of a prototype SoC that enables 64QAM modulation, with an architecture that supports up to 256QAM, and achieves an effective throughput of 1 Gbps.
Virtualized networking performance has improved significantly over time. Tuning tips for better performance include using a virtual instead of emulated network interface, maximizing packet size up to the MTU, minimizing packet overhead such as by avoiding crossing streams, keeping network processing on the same CPU, and helping contribute to ongoing development work. Benchmarks show that virtualized networking throughput now approaches that of physical systems.
Green Telecom & IT Workshop: Ee routing and networking thierry kleinBellLabs
This document summarizes the work of the Core Switching and Routing Working Group, which focuses on improving the energy efficiency of network equipment and traffic routing. It notes that internet traffic is growing exponentially but technology improvements are slowing, resulting in a widening "energy gap". The group is researching more efficient hardware components, network architectures, traffic engineering techniques, and cross-layer optimizations. Its goal is to develop new technologies and algorithms to significantly improve network power efficiency over the next decade as traffic volumes continue rising rapidly.
This document provides a brief history of digital logic and programmable logic devices from the 1960s to present. It discusses the evolution of logic families from TTL to CMOS and the development of early programmable logic devices by companies like Altera and Xilinx. It also summarizes binary number systems, Boolean algebra, and logic design concepts like addition, subtraction, and two's complement representation. The rising costs of digital design are noted as well as how logic problems can be solved using programmable logic devices.
Productive parallel programming for intel xeon phi coprocessorsinside-BigData.com
The document discusses productive parallel programming for Intel Xeon Phi coprocessors. It begins by noting the continued need for increased computing power and discusses how Moore's law and hardware/software innovation can help achieve exascale computing. It then provides examples showing the performance benefits of Intel Xeon Phi coprocessors for applications like weather prediction and finite element analysis, with speedups of up to 2x. Reliability challenges at extreme scales are also discussed.
This document describes a communications interoperability system that:
1. Uses a software-as-a-service platform to provide 24/7 connectivity between various communication devices over satellite, internet, and radio networks.
2. The system employs an open architecture and open standards to flexibly connect devices like radios, phones, laptops, and servers from any location using protocols like VoIP, SIP, and COTS equipment.
3. It works by encoding source data into the IP layer and using "soft-switches" to transmit the data between connected interfaces and provide full interoperability without requiring device upgrades.
1) The document describes the Digital Contact Controller (DCC) made by ATLab, a Korean company located in Yongin-shi.
2) The DCC uses a fully digital architecture for capacitive touch sensing and is used in applications such as portable electronics, home electronics, and office equipment.
3) Key features of the DCC include low power consumption, fast response time, water resistance, ESD durability, and interference suppression between multiple touch points.
Service Density By Xelerated At Linley SeminarXelerated
This document discusses carrier Ethernet service density and the Xelerated HX family of network processors. It defines service density as the amount of network services simultaneously supported by a packet processing device at wire speed. The two key components that determine service density are service processing and service classification/lookups. The presentation examines Xelerated's evolution in these areas over time and how its new HX330 and HX320 network processors achieve the highest levels of service density and efficiency in the industry. Details are provided on the architecture and capabilities of these 100Gbps HX processors.
The EC400 is a network interface device that allows intelligent addressable control panels in a Cooper Lon network to be accessed over an intranet or ethernet using TCP/IP. It supports up to 256 IP devices on one channel without a dedicated PC. The EC400 requires only an IP address to be configured and uses easy to understand diagnostic LEDs for installation and troubleshooting. A minimum of two EC400 units are needed, with one configured as the server to manage the address list of all client EC400 IP addresses on the network.
Acceleration for big data, hadoop and memcached it168文库Accenture
This document summarizes a presentation on accelerating big data, Hadoop and Memcached using high-performance networks. It provides overviews of the architectures of Memcached, Hadoop Distributed File System (HDFS) and HBase, highlighting their network-level interactions. It discusses challenges in designing communication and I/O libraries for enterprise systems and how protocols like Remote Direct Memory Access (RDMA) could benefit these systems. Case studies on accelerating Memcached and HBase using RDMA verbs are presented, showing significant latency reductions compared to 1 and 10 Gigabit Ethernet.
Acceleration for big data, hadoop and memcached it168文库Accenture
This document summarizes a presentation on accelerating big data, Hadoop and Memcached using high-performance networks. It provides an overview of the architectures of Memcached, Hadoop Distributed File System (HDFS) and HBase. It discusses the challenges in designing communication and I/O libraries for enterprise systems and how protocols like Remote Direct Memory Access (RDMA) can help overcome these challenges. It presents case studies on redesigning Memcached to use verbs interfaces and achieves significant latency improvements over 1GbE and 10GbE networks for both small and large messages.
Ip Networking Over Satelite Course SamplerJim Jenkins
This three-day course is designed for satellite engineers and managers in government and industry who need to increase their understanding of the Internet and how Internet Protocols (IP) can be used to transmit data and voice over satellites. IP has become the worldwide standard for data communications. Satellites extend the reach of the Internet and Intranets. Satellites deliver multicast content efficiently anywhere in the world. With these benefits come challenges. Satellite delay and bit errors can impact performance. Satellite links must be integrated with terrestrial networks. Space segment is expensive; there are routing and security issues. This course explains the techniques and architectures used to mitigate these challenges. Quantitative techniques for understanding throughput and response time are presented. System diagrams describe the satellite/terrestrial interface. The course notes provide an up-to-date reference. An extensive bibliography is supplied.
The document discusses using PMTLTM/VMTLTM technology to improve signal integrity for DDR memory modules. It proposes embedding the technology into memory modules to increase signal propagation speed, reduce line delay and losses, improve the frequency response, and eliminate crosstalk. This would lead to lower jitter, skew, power loss, and improved eye diagrams, allowing higher data rates and bandwidth for DDR2 and DDR3 memory modules using the same PCB design rules. Tables show expected improvements between 30-99% in key metrics after applying the technology.
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slackshyamraj55
Discover the seamless integration of RPA (Robotic Process Automation), COMPOSER, and APM with AWS IDP enhanced with Slack notifications. Explore how these technologies converge to streamline workflows, optimize performance, and ensure secure access, all while leveraging the power of AWS IDP and real-time communication via Slack notifications.
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfMalak Abu Hammad
Discover how MongoDB Atlas and vector search technology can revolutionize your application's search capabilities. This comprehensive presentation covers:
* What is Vector Search?
* Importance and benefits of vector search
* Practical use cases across various industries
* Step-by-step implementation guide
* Live demos with code snippets
* Enhancing LLM capabilities with vector search
* Best practices and optimization strategies
Perfect for developers, AI enthusiasts, and tech leaders. Learn how to leverage MongoDB Atlas to deliver highly relevant, context-aware search results, transforming your data retrieval process. Stay ahead in tech innovation and maximize the potential of your applications.
#MongoDB #VectorSearch #AI #SemanticSearch #TechInnovation #DataScience #LLM #MachineLearning #SearchTechnology
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc
How does your privacy program stack up against your peers? What challenges are privacy teams tackling and prioritizing in 2024?
In the fifth annual Global Privacy Benchmarks Survey, we asked over 1,800 global privacy professionals and business executives to share their perspectives on the current state of privacy inside and outside of their organizations. This year’s report focused on emerging areas of importance for privacy and compliance professionals, including considerations and implications of Artificial Intelligence (AI) technologies, building brand trust, and different approaches for achieving higher privacy competence scores.
See how organizational priorities and strategic approaches to data security and privacy are evolving around the globe.
This webinar will review:
- The top 10 privacy insights from the fifth annual Global Privacy Benchmarks Survey
- The top challenges for privacy leaders, practitioners, and organizations in 2024
- Key themes to consider in developing and maintaining your privacy program
Driving Business Innovation: Latest Generative AI Advancements & Success StorySafe Software
Are you ready to revolutionize how you handle data? Join us for a webinar where we’ll bring you up to speed with the latest advancements in Generative AI technology and discover how leveraging FME with tools from giants like Google Gemini, Amazon, and Microsoft OpenAI can supercharge your workflow efficiency.
During the hour, we’ll take you through:
Guest Speaker Segment with Hannah Barrington: Dive into the world of dynamic real estate marketing with Hannah, the Marketing Manager at Workspace Group. Hear firsthand how their team generates engaging descriptions for thousands of office units by integrating diverse data sources—from PDF floorplans to web pages—using FME transformers, like OpenAIVisionConnector and AnthropicVisionConnector. This use case will show you how GenAI can streamline content creation for marketing across the board.
Ollama Use Case: Learn how Scenario Specialist Dmitri Bagh has utilized Ollama within FME to input data, create custom models, and enhance security protocols. This segment will include demos to illustrate the full capabilities of FME in AI-driven processes.
Custom AI Models: Discover how to leverage FME to build personalized AI models using your data. Whether it’s populating a model with local data for added security or integrating public AI tools, find out how FME facilitates a versatile and secure approach to AI.
We’ll wrap up with a live Q&A session where you can engage with our experts on your specific use cases, and learn more about optimizing your data workflows with AI.
This webinar is ideal for professionals seeking to harness the power of AI within their data management systems while ensuring high levels of customization and security. Whether you're a novice or an expert, gain actionable insights and strategies to elevate your data processes. Join us to see how FME and AI can revolutionize how you work with data!
Ivanti’s Patch Tuesday breakdown goes beyond patching your applications and brings you the intelligence and guidance needed to prioritize where to focus your attention first. Catch early analysis on our Ivanti blog, then join industry expert Chris Goettl for the Patch Tuesday Webinar Event. There we’ll do a deep dive into each of the bulletins and give guidance on the risks associated with the newly-identified vulnerabilities.
5th LF Energy Power Grid Model Meet-up SlidesDanBrown980551
5th Power Grid Model Meet-up
It is with great pleasure that we extend to you an invitation to the 5th Power Grid Model Meet-up, scheduled for 6th June 2024. This event will adopt a hybrid format, allowing participants to join us either through an online Mircosoft Teams session or in person at TU/e located at Den Dolech 2, Eindhoven, Netherlands. The meet-up will be hosted by Eindhoven University of Technology (TU/e), a research university specializing in engineering science & technology.
Power Grid Model
The global energy transition is placing new and unprecedented demands on Distribution System Operators (DSOs). Alongside upgrades to grid capacity, processes such as digitization, capacity optimization, and congestion management are becoming vital for delivering reliable services.
Power Grid Model is an open source project from Linux Foundation Energy and provides a calculation engine that is increasingly essential for DSOs. It offers a standards-based foundation enabling real-time power systems analysis, simulations of electrical power grids, and sophisticated what-if analysis. In addition, it enables in-depth studies and analysis of the electrical power grid’s behavior and performance. This comprehensive model incorporates essential factors such as power generation capacity, electrical losses, voltage levels, power flows, and system stability.
Power Grid Model is currently being applied in a wide variety of use cases, including grid planning, expansion, reliability, and congestion studies. It can also help in analyzing the impact of renewable energy integration, assessing the effects of disturbances or faults, and developing strategies for grid control and optimization.
What to expect
For the upcoming meetup we are organizing, we have an exciting lineup of activities planned:
-Insightful presentations covering two practical applications of the Power Grid Model.
-An update on the latest advancements in Power Grid -Model technology during the first and second quarters of 2024.
-An interactive brainstorming session to discuss and propose new feature requests.
-An opportunity to connect with fellow Power Grid Model enthusiasts and users.
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUpanagenda
Webinar Recording: https://www.panagenda.com/webinars/hcl-notes-und-domino-lizenzkostenreduzierung-in-der-welt-von-dlau/
DLAU und die Lizenzen nach dem CCB- und CCX-Modell sind für viele in der HCL-Community seit letztem Jahr ein heißes Thema. Als Notes- oder Domino-Kunde haben Sie vielleicht mit unerwartet hohen Benutzerzahlen und Lizenzgebühren zu kämpfen. Sie fragen sich vielleicht, wie diese neue Art der Lizenzierung funktioniert und welchen Nutzen sie Ihnen bringt. Vor allem wollen Sie sicherlich Ihr Budget einhalten und Kosten sparen, wo immer möglich. Das verstehen wir und wir möchten Ihnen dabei helfen!
Wir erklären Ihnen, wie Sie häufige Konfigurationsprobleme lösen können, die dazu führen können, dass mehr Benutzer gezählt werden als nötig, und wie Sie überflüssige oder ungenutzte Konten identifizieren und entfernen können, um Geld zu sparen. Es gibt auch einige Ansätze, die zu unnötigen Ausgaben führen können, z. B. wenn ein Personendokument anstelle eines Mail-Ins für geteilte Mailboxen verwendet wird. Wir zeigen Ihnen solche Fälle und deren Lösungen. Und natürlich erklären wir Ihnen das neue Lizenzmodell.
Nehmen Sie an diesem Webinar teil, bei dem HCL-Ambassador Marc Thomas und Gastredner Franz Walder Ihnen diese neue Welt näherbringen. Es vermittelt Ihnen die Tools und das Know-how, um den Überblick zu bewahren. Sie werden in der Lage sein, Ihre Kosten durch eine optimierte Domino-Konfiguration zu reduzieren und auch in Zukunft gering zu halten.
Diese Themen werden behandelt
- Reduzierung der Lizenzkosten durch Auffinden und Beheben von Fehlkonfigurationen und überflüssigen Konten
- Wie funktionieren CCB- und CCX-Lizenzen wirklich?
- Verstehen des DLAU-Tools und wie man es am besten nutzt
- Tipps für häufige Problembereiche, wie z. B. Team-Postfächer, Funktions-/Testbenutzer usw.
- Praxisbeispiele und Best Practices zum sofortigen Umsetzen
Introduction of Cybersecurity with OSS at Code Europe 2024Hiroshi SHIBATA
I develop the Ruby programming language, RubyGems, and Bundler, which are package managers for Ruby. Today, I will introduce how to enhance the security of your application using open-source software (OSS) examples from Ruby and RubyGems.
The first topic is CVE (Common Vulnerabilities and Exposures). I have published CVEs many times. But what exactly is a CVE? I'll provide a basic understanding of CVEs and explain how to detect and handle vulnerabilities in OSS.
Next, let's discuss package managers. Package managers play a critical role in the OSS ecosystem. I'll explain how to manage library dependencies in your application.
I'll share insights into how the Ruby and RubyGems core team works to keep our ecosystem safe. By the end of this talk, you'll have a better understanding of how to safeguard your code.
Dive into the realm of operating systems (OS) with Pravash Chandra Das, a seasoned Digital Forensic Analyst, as your guide. 🚀 This comprehensive presentation illuminates the core concepts, types, and evolution of OS, essential for understanding modern computing landscapes.
Beginning with the foundational definition, Das clarifies the pivotal role of OS as system software orchestrating hardware resources, software applications, and user interactions. Through succinct descriptions, he delineates the diverse types of OS, from single-user, single-task environments like early MS-DOS iterations, to multi-user, multi-tasking systems exemplified by modern Linux distributions.
Crucial components like the kernel and shell are dissected, highlighting their indispensable functions in resource management and user interface interaction. Das elucidates how the kernel acts as the central nervous system, orchestrating process scheduling, memory allocation, and device management. Meanwhile, the shell serves as the gateway for user commands, bridging the gap between human input and machine execution. 💻
The narrative then shifts to a captivating exploration of prominent desktop OSs, Windows, macOS, and Linux. Windows, with its globally ubiquitous presence and user-friendly interface, emerges as a cornerstone in personal computing history. macOS, lauded for its sleek design and seamless integration with Apple's ecosystem, stands as a beacon of stability and creativity. Linux, an open-source marvel, offers unparalleled flexibility and security, revolutionizing the computing landscape. 🖥️
Moving to the realm of mobile devices, Das unravels the dominance of Android and iOS. Android's open-source ethos fosters a vibrant ecosystem of customization and innovation, while iOS boasts a seamless user experience and robust security infrastructure. Meanwhile, discontinued platforms like Symbian and Palm OS evoke nostalgia for their pioneering roles in the smartphone revolution.
The journey concludes with a reflection on the ever-evolving landscape of OS, underscored by the emergence of real-time operating systems (RTOS) and the persistent quest for innovation and efficiency. As technology continues to shape our world, understanding the foundations and evolution of operating systems remains paramount. Join Pravash Chandra Das on this illuminating journey through the heart of computing. 🌟
leewayhertz.com-AI in predictive maintenance Use cases technologies benefits ...alexjohnson7307
Predictive maintenance is a proactive approach that anticipates equipment failures before they happen. At the forefront of this innovative strategy is Artificial Intelligence (AI), which brings unprecedented precision and efficiency. AI in predictive maintenance is transforming industries by reducing downtime, minimizing costs, and enhancing productivity.
Taking AI to the Next Level in Manufacturing.pdfssuserfac0301
Read Taking AI to the Next Level in Manufacturing to gain insights on AI adoption in the manufacturing industry, such as:
1. How quickly AI is being implemented in manufacturing.
2. Which barriers stand in the way of AI adoption.
3. How data quality and governance form the backbone of AI.
4. Organizational processes and structures that may inhibit effective AI adoption.
6. Ideas and approaches to help build your organization's AI strategy.
Nunit vs XUnit vs MSTest Differences Between These Unit Testing Frameworks.pdfflufftailshop
When it comes to unit testing in the .NET ecosystem, developers have a wide range of options available. Among the most popular choices are NUnit, XUnit, and MSTest. These unit testing frameworks provide essential tools and features to help ensure the quality and reliability of code. However, understanding the differences between these frameworks is crucial for selecting the most suitable one for your projects.
Trusted Execution Environment for Decentralized Process MiningLucaBarbaro3
Presentation of the paper "Trusted Execution Environment for Decentralized Process Mining" given during the CAiSE 2024 Conference in Cyprus on June 7, 2024.
Skybuffer SAM4U tool for SAP license adoptionTatiana Kojar
Manage and optimize your license adoption and consumption with SAM4U, an SAP free customer software asset management tool.
SAM4U, an SAP complimentary software asset management tool for customers, delivers a detailed and well-structured overview of license inventory and usage with a user-friendly interface. We offer a hosted, cost-effective, and performance-optimized SAM4U setup in the Skybuffer Cloud environment. You retain ownership of the system and data, while we manage the ABAP 7.58 infrastructure, ensuring fixed Total Cost of Ownership (TCO) and exceptional services through the SAP Fiori interface.
GraphRAG for Life Science to increase LLM accuracyTomaz Bratanic
GraphRAG for life science domain, where you retriever information from biomedical knowledge graphs using LLMs to increase the accuracy and performance of generated answers
Fueling AI with Great Data with Airbyte WebinarZilliz
This talk will focus on how to collect data from a variety of sources, leveraging this data for RAG and other GenAI use cases, and finally charting your course to productionalization.
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...Tatiana Kojar
Skybuffer AI, built on the robust SAP Business Technology Platform (SAP BTP), is the latest and most advanced version of our AI development, reaffirming our commitment to delivering top-tier AI solutions. Skybuffer AI harnesses all the innovative capabilities of the SAP BTP in the AI domain, from Conversational AI to cutting-edge Generative AI and Retrieval-Augmented Generation (RAG). It also helps SAP customers safeguard their investments into SAP Conversational AI and ensure a seamless, one-click transition to SAP Business AI.
With Skybuffer AI, various AI models can be integrated into a single communication channel such as Microsoft Teams. This integration empowers business users with insights drawn from SAP backend systems, enterprise documents, and the expansive knowledge of Generative AI. And the best part of it is that it is all managed through our intuitive no-code Action Server interface, requiring no extensive coding knowledge and making the advanced AI accessible to more users.
Skybuffer AI: Advanced Conversational and Generative AI Solution on SAP Busin...
Protocol Aware Ate Semi Submitted
1. Protocol Aware ATE
Eric Larson
Senior Product Specialist
2008 Beijing Advanced Semiconductor
2009-3-
Technology Symposium
3
1
2. Why Protocol Aware Automatic
Test Equipment (ATE)?
A SMARTER TESTER MAKES TESTING EASIER
• In the end application semiconductor devices communicate with each other
at a high level of abstraction (Protocols), sending information back and
forth much like people having a phone conversation.
• Device designers use these Protocols to create and validate their designs
• ATE today does not quot;speakquot; Protocols so ATE users must interact with
Devices Under Test at a very low level of abstraction (Vectors), very much
like communicating in ASCII Code.
• Making ATE quot;Protocol Awarequot; will allow ATE users to interact with the
device using the same Protocol level of abstraction as designers
• Smarter ATE will make it much easier to interact with the devices during
silicon bring-up and debug on ATE and feed back results to design. Faster
debug means reduced development cycles and faster Time-to-Market
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
2
3. Protocol Aware ATE: Outline
• The Impact of Silicon Integration on ATE
Users
• Protocol Aware ATE Applications
– Reduce or Eliminate System Level Test
– Speed up Silicon Bring-up and Debug
• Protocol Aware ATE Architecture
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
3
4. Impact of Silicon Integration
on Device and ATE Complexity
In each decade semiconductor device complexity increases by >10x and
Chip
requires a new tester architecture
Complexity
(Transistor Count)
Protocol Aware
- New complex devices have more IP Blocks, more
10,000,000,000
gates, more clock domains.
ity
- IP re-use makes devices easier and faster to
ex
1,000,000,000
design but more difficult and slower to test.
pl
m
Co
100,000,000
unt
r Co
st
isto
Te
ans
Tr Integrated RF
10,000,000
And SERDES
1,000,000
Mixed Signal &
100,000
Memory Test
Per Pin Timing
10,000
Time
2000’s
1980’s 1990’s 2010’s
RF & SERDES IP re-use & DUT Master
Multiple Digital functions Logic, Memory & Analog
High speeds Digital non-determinism
Complex Timing Analog non-determinism
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
4
5. IP re-use:
Design Engineer Heaven
Controller IP
DRAM
P
3000 Mbps SATA IP L
DDR
SRAM
L
533 -1600 Mbps
Cache IP
PCI P
5000 Mbps L
Express IP L
PLL
CPU
Controller IP
Core
USB2.0 P
480 Mbps IP x 2
L
DDR
533 -1600 Mbps
IP L
SDIO IP PLL
PLL
Low
JTAG IP Bus Interface
Speed 800 Mbps
IP
SPI IP
- Re-usable design IP allows designers to:
- Tape out full feature designs faster using Asynchronous IP that
speeds design time and chip timing closure
- Work with high level behavioral simulations, simplifying verification
of complex bus protocols
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
5
6. IP re-use:
Test Engineer Hell
Controller IP
DRAM
P
3000 Mbps SATA IP L
DDR
SRAM
L
533 -1600 Mbps
Cache IP
PCI P
5000 Mbps L
Express IP L
PLL
CPU
Controller IP
Core
USB2.0 P
480 Mbps IP x 2
L
DDR
533 -1600 Mbps
IP L
SDIO IP PLL
PLL
Low
JTAG IP Bus Interface
Speed 800 Mbps
IP
SPI IP
- Test Engineers do not have re-usable TEST IP
- Protocol level simulations (event based) must be converted to
vectors (time based). Test engineers must debug with low level
vectors - ’01HLX’
- Asynchronous Interfaces cause non-determinism, which test
engineers must try to predict and adjust for in the vectors (may
shift with Process Variation)
6
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
6
7. DUT and Tester Misalignment
• I/O buses use many different complex protocols and clocking
schemes
IP Re-use
• Multiple clock domains with no frequency relationship
DRAM
Controller IP
P
SATA IP
3000 Mbps L
SRAM
DDR
L
333/400/533 Mbps
Cache IP
=
PCI P
2500 Mbps L
• Asynchronously linked buses have independent PLLs per clock
Express IP L
CPU PLL
Core
Controller IP
USB2.0 P
480 Mbps L
DDR
IP x 2 333/400/533 Mbps
IP L
domain
SDIO IP PLL PLL
Low JTAG IP Bus Interface
800 Mbps
Speed SPI IP IP
• Behavior changes across Process, Voltage, and Temperature
(PVT) including shifts in timing, insertion of idle cycles, changes in
data order.
+
• Test development time is long because of differences between
Stored Response ATE DUT behavior in design and ATE
• Early silicon yield is reduced because good devices don’t match
ATE pass conditions
= • Test times are long because multiple pattern executions are
required looking for a pass or must capture/post-process
• Fault coverage is inadequate because DUT is not tested in
“Mission Mode” (end application)
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
7
8. Protocol Aware ATE Applications
Potential Areas of Interest
Improve
Reduce Pgm
Early
Develop &
Silicon
Debug Time
Yield
Speed Up
Reduce
Silicon
Test Time
Debug
Protocol
Aware
ATE
Reduce Reduce or
Customer Eliminate
Return System
Debug Time Level Test
Improve
Fault Reduce DIB
Coverage Complexity
And DPM
Time to Production
Quality
Market Economics
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
8
9. Protocol Aware ATE Application:
Reduce or Eliminate System Level Test
Improve
Reduce Pgm
Early
Develop &
Silicon
Debug Time
Yield
Speed Up
Reduce
Silicon
Test Time
Debug
Protocol
Aware
ATE
Reduce Reduce or
Customer Eliminate
Return System
Debug Time Level Test
Improve
Fault Reduce DIB
Coverage Complexity
And DPM
Time to Production
Quality
Market Economics
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
9
10. Example of World-Class ASIC
ATE Test Fault Coverage
- At the 2007 VLSI
Test Symposium a
major ASIC vendor
(IBM) described fault
coverage for their
ASICs
- Stuck-at fault
coverage was very
high at >99% (DC-
Start and DC-end)
- Transition fault
coverage was lower at
84-87% (Scan, ASST,
TADT)
- No functional tests
IBM ASIC Fault Coverage – VLSI Test Symposium 2007
were performed
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
10
11. Example of ASIC ATE Test Fault
Coverage Issues
- At the same conference a
major ASIC customer (Cisco)
described their experience
with ATE test escapes on
ASIC failures at system test
- Of the ASIC failures
identified at system test 68%
were attributed to ATE test
escapes
- Cisco attributed the high
percentage of ATE test
escapes to:
- Hard to emulate functional
environment with a standalone
chip
- Board functional tests run
Cisco ASIC Fault Coverage – VLSI Test Symposium 2007
different data from ATE ASIC BIST
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
11
12. Cycle-based vs Protocol-based
Non-
deterministic
number of
skips and idles
Bench equipment is like programming in
ATE is like coding in machine language
assembly or high-level language
Characteristics of new interfaces
• Asynchronous
• Non-deterministic
• Interactive (needs some sort of handshaking, eg, speed negotiation, because they have to
be backward compatible)
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
12
13. PCI Express(PCIE):
System Level Module Test Example
- Semiconductor manufacturer wants to test thru PCI Express port on ATE:
- Send commands to Device Under Test (DUT) through the PCIE port to set up registers
- Write and read back the register values across PCIE interface
- Send commands thru the PCIE port to set up internal loopback thru 1000BaseT ports
- Send data thru the PCIE interface and loop it back
- Check the data to verify that the entire chain is OK
- Instead they have to test in a separate System Level Test (SLT) insertion
- Separate System Level Test (SLT) insertion using 2 PC’s and a customized LAN card
- Throughput is much slower than ATE
- The SLTs are inexpensive but take up a lot of floor space
Customized LAN card
DUT in socket
Cat-5 cable 2nd PC
Processor/PCIE controller
PC motherboard
PCIE slot
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
13
14. PCI Express(PCIE):
Desired ATE Setup
Device Internal loopback
DUT
ATE
1000BaseT
PCIE
ATE would need to:
1.Initiate and complete handshake to establish link
2. During subsequent exchange, handle low level link
layer/physical layer functions such as inserting “skips” (and
perhaps resending packets/commands) without user intervention
3. Designer would only need to provide “Payload Data” and the
tester would manage the link, just like CPU/PCIE controller
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
14
15. Protocol Aware ATE Application:
Speed Up Silicon Bring-up and Debug
Improve
Reduce Pgm
Early
Develop &
Silicon
Debug Time
Yield
Speed Up
Reduce
Silicon
Test Time
Debug
Protocol
Aware
ATE
Reduce Reduce or
Customer Eliminate
Return System
Debug Time Level Test
Improve
Fault Reduce DIB
Coverage Complexity
And DPM
Time to Production
Quality
Market Economics
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
15
16. Non-Deterministic DUT Behavior:
Cycle Slipping
SOC
Timing Timing
Ext
Domain Domain
ARM
DDR
Debug Async
Mem
#1 #2
Boundary
PROC I/F Bus
Port
PLL
PLL
Tester starts
Random Phase Alignment
in alignment
ATE T0 Reference
Debug Bus CMD
Tester T0 Ref
DDR Write (early)
DDR Write (nominal)
DDR Write (late)
Results may appear on DDR bus at any of several cycles.
Where do you place your strobe?
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
16
17. Non-Deterministic DUT Behavior:
Asynchronous Memory BIST
ADDR
ADDR
BIST
BIST CTL
“Go!” CTL Control
Control Memory Memory
Data
Array Array
Data
ADDR
ADDR
BIST CTL
BIST Control
CTL
Control Memory Memory
Data
Array Array
Fault
Data
Fault
Packet
Packet
Fault Fault Fault Fault
Packet Packet Packet Packet
… … …
BIST Clock
Status
… … …
… … …
Fault Packets
How can you capture only the fault
packets across multiple memories?
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
17
18. Silicon Debug:
Direct Register Read and Write
What you want to do! Device
Directly Read & Write device registers using Logic
Block
the same protocol as RTL and bench tests Device
Logic
JTAG
Write.jtag ( ADDR: 04h, DATA: 55h)
Block
Device
Or
Read.jtag (ADDR: 0Ah, DATA read_var) Register
Debug Device
File
IF
What you have to do! Logic
Block
Debug using ATE Patterns at a much Device
lower, bit oriented level: ’01HL’ Logic
Protocol Code Block
Device Protocol Transactions ATE Vectors Compression
- A single line of RTL becomes JTAG 1 74 98.65%
USB2.0 7 392 98.21%
multiple vectors as it is
MDIO 2 78 97.44%
translated into serial machine I2C 4 124 96.77%
cycles PCIE 12 256 95.31%
DDR2 4 20 80.00%
#of Vectors/PA Transactions for 1 x 32 bit register transfer
- ATE vectors are difficult to
debug, difficult to modify,
difficult to communicate to
design engineers
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
18
19. Silicon Debug:
Patternless Test Generation
• Interactive sequences of Protocol frames can be strung together.
• Interactive Register Read/Write from Debug Environment
• For some protocols, no patterns are necessary (slower serial: MDIO, JTAG).
• Simulation RTL and bench tests are protocol specific
• Translation to ATE is still protocol specific. Debug occurs in protocol domain.
TheHdw.Protocol.Port.Enable “MDIOport,CLOCKport”
clk.Reset
mdio.Writec22 phy := 28, reg := 2, data := &HB57E ‘ Setup BIST Engine.
mdio.Writec22 phy := 28, reg := 3, data := &H5007
mdio.Writec22 phy := 29, reg := 1, data := &H0001 ‘ Start BIST Engine.
mdio.ReadUntilc22 phy := 20, reg := 8, data := &h0000 ‘ Wait for BIST to Stop (assuming a status word).
Dim result As SiteLong ‘ Read device test status.
result = mdio.Readc22 phy := 20, reg := 7
mdio.Stop
clk.Stop
TheExec.Flow.TestLimit result, lo := 0, hi := &H0030 ‘ Test result.
19
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
19
20. SOC ATE Digital Instrument:
Existing SOC Architecture
Standard SOC Digital Instrument
DSSC Pin Electronics
Host T
Logic T
Computer DUT
PE
Patgen Timing
Standard SOC Digital Instrument
• Appropriate voltage specs, and data rate
• Logic pattern generator with associated pattern memory
• Digital Signal Source and Capture
• Programmable edge timing
• Microcode control over analog and DC instruments
• Pattern execution controlled by Host Computer
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
20
21. SOC ATE Digital Instrument:
Protocol Aware Architecture
Protocol Aware Digital Instrument
DSSC Pin Electronics
Host T
Logic T
Computer DUT
PE
Patgen Timing
Protocol Aware
Channels Select between normal PE
FPGA Based
operation and Protocol Engine
Protocol Aware Digital Instrument = Standard SOC Digital Instrument
PLUS
• Separate FPGA based Protocol Engines
• Separate memory for Protocol transactions
• Patgen and Host handshaking (start/done)
• Transaction execution from Host Computer, Job Program, or Transaction Memory
• Slow Serial Master (JTAG etc)
• RAM Emulation for DDR or Boot PROM
• Master/Slave operation
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
21
22. First Generation Protocol Aware
ATE already exists
• Limited Protocol Aware ATE capability is available today
• A first generation Protocol Aware Instrument is the UltraFLEX SB6G
– Designed for at-speed test of High Speed Serial buses like PCI Express and SATA
– SB6G can recognize, manipulate, and compare 8b/10b encoded DUT output data up to 6.4Gbps
DUT Output Data SB6G Timing and Data Alignment Compare
Vector Data
6.4Gb/s Data Rate
Disparity &
Clock 10 bit 20 bit
Compare PRBS
Symbol Map
Data Align Match Auto-seed
RAM
Recovery
Capture for
Out-of Order
Data Compare
DUT output: Time align Data align Data manipulation Data align to - At-speed compare
8b/10b encoded to incoming to specific - Ignore Idles a specific two with stored pattern
data @ up to data 8b/10b - Map +/- disparity symbol - At-speed compare
6.4Gbps Symbol - Re-map symbols sequence
with PRBS pattern
Boundary
Protocol Aware Capability - Capture for later
compare with
Out-of-Order data
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
22
23. The Future of ATE is Protocol
Aware
• Over Time ATE will become Protocol Aware, not just stored-response patterns
• Potential Protocol Aware ATE capabilities include:
– Transactional level software so design and test interact with the device at the same high
level of abstraction.
– Low latency DUT<-> ATE handshake to support higher level functions like memory
(RAM/Flash/ROM) emulation.
– Dealing with non-deterministic DUT behavior - timing shifts, idles, & out-of-order data
– Supporting at-speed functional test in device native operating mode (Mission Mode)
• Protocol Aware ATE can:
– Reduce test development time with transactional level ATE software
– Improve early silicon yield because ATE matches device Mission Mode
– Reduce test time by eliminating the need for capture and post-processing
– Increase fault coverage by testing devices in Mission Mode
– Reduce or eliminate the need for System Level Test and DIB Circuitry
2008 Beijing Advanced Semiconductor Technology Symposium
2009-3-3
23