SlideShare a Scribd company logo
1 of 95
Download to read offline
Introduction
Introduction


  Brief history of modern electronic
    design
History: x86
         and .....
                                                10B

             PAL
 TTL
                               Altera
                       MMI
  '60
                                '83
                       '78
 MOS
                               Xilinx
CMOS
                                '84
              IC

                                                1B
                                         tsmc

'65
Moore's Law

                                                100M
                                   IBM
               Apple         IBM
                                    PC
                 II           PC
                                    AT
                                                10M
Moore's Law




1965:
a doubling every 12
months

1975:
the future rate of
increase in a
complexity to doubling
every two years
Evolution of PC mother-
   board
286(MCU+TTL or PAL)




                            today(MCU+N+S)
A Motorola 68000-based com-
 puter with various TTL chips
A real-time clock built of
 TTL chips designed about
 1979.
Logic Family
Logic IC Technology Life Cycle:
 ti/2007
PAL(Programmable Array Lo-
MMI, gic)
     1978

                        GAL, Lattice, 1985




            AMD, 1983
History of PLD: Altera
CMOS PLD
  $B
3.0
      Simple Control Logic               Complex           System                           SOPC
                                          Logic             Logic
2.5
                                           CPLD
             SPLD

2.0


1.5


1.0
                                             MAX+PLUS II
                                              MAX 7000
                                                                 7032V
                                         AHDL                   MAX 9000
0.5                                 ALTR
                        TTL LIB                       FLEX 8000        FLEX 10K     FLEX 10KA   APEX Mercury  Stratix
                                     IPO
                         EP1200                      ACCESS EDA        IP Library   FLEX10KE          ARM Transceivers
           First
                        EPB1400                                                     MAX 7000A         Nios   Cyclone
           PLD


      83    84     85     86   87   88     89   90   91    92   93   94    95   96    97   98    99   00   01   02   03
Application :
 World of Digital and Ana-
 log          Computation,
              control,
            communication




                       Analog:
                   ●
                       continuous time
                       temperature, pres-
                       sure
                       Why Digital?
                       Digital:
                   ●
                       discrete time
                       ex,
otor
Control:
ti
Motion Control: Altera
Motion Control: Altera
Introduction


  What kind of Problems to be solved
    by logic design
What kinds of problem
    Digital Only: two Value, Binary, 1/0
●
●

    Control
●
    Communication
●
    Computation
●
●
Example: Detector and
 control
                                             Event:
                                             True (T) ON
                                             False(F) OFF

                                             Voltage:
                                             High (H) 1
                                             Low(L) 0

                                             Sensor Input:
                                             T, Pressure
  If (A OR B OR C) then   If (T > 80) then
       ALARM                    ALARM
  else                    else
Example: Sequence

 Starting up your Car
                          Motor control
                          Washing Machine
                   Off
                          Menu of Cellar Phone
        Off



Off       Start


                  Start
      Running
Solution to Logic Design: Why
     PLD

                               Consideration
    HW                     ●
●
                                   Performance
    Full Custom                ●
●
                                   Cost
    ASIC(std Cell)             ●
●
                                   Schedule
    Discrete: TTL, .....       ●
●
    CPLD                       ●
●
    FPGA
●


    SW/FW
●
●


    Mixed HW/SW
●
        uC
    ●
●
Rising Cost of Development
 Technology   RTL Gates      Verification   Synthesis + P&R   Engineering
              Qtr./Person    Qtr./Person      Qtr./Person      Man Years
   0.35 µ                                                          14
                  30K              15K            125K
   0.25 µ                                                          30
                  36K              18K            300K
   0.18 µ                                                          38
                  50K              25K            500K
   0.15 µ                                                          41
                  75K              38K            750K
   0.13 µ                                                          46
                 110K              55K         1.0M
   0.09 µ                                                          52
              150K           75K                 1.25M
 Technology   Engineering Costs ($Ms)              Masks & Wafers ($)
   0.35 µ                2                                 80K
   0.25 µ                5                                160K
   0.18 µ              6.4                                400K
   0.15 µ              7.3                                600K
   0.13 µ              8.8                                900K
   0.09 µ             10.4                                1.3M
General Development
 Cycle
How we design


                        Structural
                        module, symbol
                        Schematic: lines, name




                                       Functional
                                       module
   Physical
                                       name
   Block Cell
                                       Language: HDL
   Wire
                Level of Abstraction




                                                       Behavior, system
Introduction



  Review of Binary Number & Boolean
    Algebra
Binary Number System




LSB: least significant bit
MSB: Most significant bit
How many bits are suffi-
      cent
    Given N, the minimum integer k,              Telephone: 8bits
                                      k
●                                     2 N   ●
    N=10, k=4                                    CD : 16bits
●                                            ●
    E-ASCII Code, k=8
●
    { high, medium, low}, k=2
●
    3 digital: 0℃ ~ 100℃, k=7
●




             K=8, N= 0 ~ 255
         ●
             K=10, N=0 ~ 1023                1K
         ●
             K=16, N=0 ~ 65,535              64K
         ●
             K=32, N=0 ~ 4,294,967,295       4KKK = 4G
         ●
Binary and Decimal Con-
     version
Binary to Decimal



Decimal to Binary
Binary Addition and Sub-
 traction
        0+0→0
●                            1 1 1 1 1 (carried digits)
                               01101               0Dh        13
        0+1→1
●                          + 10111                 17h        23
        1+0→1
●                          ---------------------------------------
                           =1 0 0 1 0 0            24h        36
        1 + 1 → 0,
●
    carry 1    (1+1 →
    10)
        0−0→0               (starred columns are borrowed from)
●
                            * * **
        0 − 1 → 1,
●                         1101110               6Eh        110
                        −      10111            17h          23
    borrow 1            ------------------------------------------
        1−0→1           =1 0 1 0 1 1 1          57h          87
●
        1−1→0
●
2's Complement(1)

    10's complement of 95 = 10^2 – 95 =5
●
    9's complement of 95              = 99 – 95 =
●
    4
● CM(10's) = CM(9's) + 1
● 2's complement 0001 = 2^4 – 0001 =
    1111
● 1's complement 0001 = 2^4 – 1 – 0001
An N-bit 1110
    = two's-complement numeral system
can represent every integer in the range
−2^(N-1) to +2^(N-1)-1.
4bit: -2^(3)= -8 to +2^3-1 = +7
8bit: -128 to +127



            In this way, we only need ADDER
            But how it works??
2's Complement(2)
    A - B = A + (not B + 1)   // ( ) :2's comple-
●
    ment
2's complement(3)

    Overflow
●
What is Logic: Boolean al-
  gebra
          a logical calculus of truth values,
       ●
          developed by George Boole(1815~1864,
          English).
       ● Algebra of two values. These are usu-
          ally taken to be 0 and 1, false
          and true, low and high
NOT: ¬A, ~A, !A
 If A = 0 then !A = 1 and if A = 1 then !A= 0
AND: A ︿ B, A*B, AB
 A product term is 1 only when all terms are a 1
  OR: A ﹀ B, A+B
   A product term is 1 only when any terms is a 1
Law of Bolean Algebra

    commutativity 交換律
●
    A+B = B+A, A*B = B*A

    Associativity 結合律
●
    A+(B+C) = (A+B)+C, A*(B*C) = (A*B)*C

    Distributive 分配律
●
    A*(B+C) = A*B+A*C
Bolean Algebra: basic rules
                10. A + A*B = A
1. A + 0 = A
                    = A*(1 + B) where (1+B) according to Rule 2 is equal to 1
2. A + 1 = 1
                    =A
3. A*0 = 0
                11. A*(A+B) = A
4. A*1 = A
5. A + A = A        =A*A + A*B               Distributive Law
6. A + !A = 1       =A+A*B                   Rule 7
                    =A                       Rule 10
7. A*A = A
                12. A + !A*B = A + B
8. A*(!A)= 0
                    = A*(B+1) + !A*B         according to Rule 2 (B+1) = 1
9. !(!A)= A
                    = A*B +A + !A*B
                    = B*(A + !A ) + A        according to Rule 6 A + ~A = 1
                    =B+A
                13. (A+B)*(A+C) = A+B*C
                    = A*A+A*C+A*B+B*C        applying the Distributive Law
                    = A*(1+C+B) +B*C         according to Rule 2 (1+B+C) = 1
                    = A+B*C
Bolean Algebra: De Morgan's
 laws

      De Morgan's laws,
  ●
      !(A*B) = !A + !B , !(A+B) = !A * !B

      Example
  ●
      ![(A+ B*C)*(A*C+ B)]
      = ![(A+B*C)] + ![(A*C+B)]
      = [!A]*[!(B*C)] + ![A*C] * [!B]
      = !A *[!B + !C] + [!A + !C] * [!B]
      = !A* !B + !A*!C + !A*!B + !C*!B
      = !A* !B + !A*!C + !B*!C
Bolean Algebra: Switch


  LED = X*Y




  LED = X + Y
Boolean Algebra:
 Gate Symbol, Truth Table(1)

   AND      OR      NOT
Boolean Algebra:
  Gate Symbol, Truth
  Table(2)
                XOR    XNOR
NAND    NOR
NAND implemented by
 NOR
NOR implemented by
 NAND
NAND: an fan failure de-
 tector


    0: Fail
    1: Ok                  ALARM




        Positive Logic
        Negative Logic
NOR: an detecor in Wash-
 ing Machine


                            1: Lid open
                                          Normal
    1: < min water level                           OFF




               1: > max weight
SOP(sum of product)
            POS(product of sum)
SOP
To derive the Sum of Products
form from a truth table, OR
together all of the minterms
which give a value of 1.




POS
To derive the Product of Sums
form from a truth table, AND
together all of the maxterms
which give a value of 0.



                            Any boolean expression may be expressed in terms of
                            either minterms or maxterms.
Will we start design now ?


      With knowledge up to now, we can start to design
  ●
      『 combinational 』 logic circuit such as Decoder,
      Adder,,,, etc, whenever we have a 『 spec 』 or
      『 truth table 』 derived from the problem re-
      quirement.
      Traditionally, Karnaugh Map & Boolean Expres-
  ●
      sion Simplification technics will be introduced for
      design optimization.
      But.....we will skip this portion since we are using
  ●
      HDL and FPGA/CPLD other than TTL or ASIC.
      Let's see “why” later.
  ●
Introduction



  Evolution of Programmable Logic
    device
  From TTL to CPLD, FPGA
TTL Design
PROM:
 combinational logic implemena-
 tion
PLA(Programmable Logic Ar-
 ray)
PAL(Programmable Array Lo-
 gic)
                  fixed-OR, programmable-AND
                  SOP

                  Security
                  Flexibility
                  Tools: HDL – ABEL,
                  CUPL, PALASM

                  quot;one-time programmablequot; (OTP)



            SOP
GAL(Generic Array Logic)
 22V10




                   EEPROM
GAL
 OLMC(OUTPUT LOGIC MACROCELL)
                    Output Select
                    Input/Feedback Select
CPLD




   Global Routing, more control on IO
Altera, MAX 3000A
MAX 3000 MacroCell
FPGA
FPGA
 LE
MAX II and Cyclone Family
MAX CPLD Family
MAX II
 LE
MAX II
 LAB
MAX II
      Device Floor Plan




CFM: Configuration Flash Memory
UFM: User Flash Memory
MAX II
        Global Signal: Clock, or con-
IO PINS trol




      Generated Internally




 Design Style
MAX II
FPGA CPLD Comparison
             FGPA                        CPLD

            Fine-Grain,                  Coarse-Grain,
Arch                                                       PAL
                            Gate-array
              Like                          like
                                            LAB
                LE, LUT
Layout
                                         LAB around Global Connect
            Grid Array
Interconn
                                         LAB Local and Global
            LAB Local and row/col
Conf                                     (E)EPROM-based
            SRAM-based
                                         instant-on
            require programming
Scale                                    Medium to small
            Very Large design
timing                                   Fast, predictable
            dependent
memory                                   No memory
            large memory
Power                                    Less power
            High power
misc                                     NA
            DSP, TRCVR
Application
Introduction



  FPGA/CPLD Design Environment &
    Flow
  Generic introduction and RTL simu-
    lation
Typical Design Flow
Typical Design Flow
Design Flow
 ModelSim




              Programming
Quartus: Design Entry
Introduction



  What is Verilog HDL,
  take a tour of 1 st tutorial example
  logic simulation
What is HDL
A Hardware Description Language (HDL) is a high-level
  programming language that offers special constructs
  with which you can model microelectronic circuits.

These special language constructs permit you to:
  Describe the operation of a circuit at various levels of
  abstraction, behavior, function, structure, timing of a
  circuit.

Language for the concurrency of hardware, not a
  software language

timing
Synthesis: mapping to physical
Why HDL
    Top-down design methodology using synthes-
●
    is
    Implementation/technology highly independ-
●
    ent
    Quickly/easily explore design alternatives
●
    Architectural problems
●
    Re-use
●
    Design productivity
●
    Take advantage of mature software design
●
    practices
Verilog History
    1980’s Gateway Design Automation developed Veri-
●
    log
    1990 Cadence acquired Gateway
●
    1991 Cadence released Verilog to the public do-
●
    main.
    1995 IEEE ratified the Verilog LRM
●
                                           We will use
    (IEEE Std. 1364-1995)
    2001 IEEE updated the Verilog LRM
●
    System Verilog
●
Verilog Usage
    System architects:
●
    system level Simulations
    ASIC and FPGA designers:
●
    RTL code for synthesis
    Verification engineers:
●
    tests for all level of simulation
    Model developers:
●
    component behavior, ex
    DRAM


                                        SystemVerilog
Introduction



  Lab1: your 1st Verilog 『 Program 』
What we did in Lab1


   Model                  Test Bench
  counter,v                tcounter.v




              Simulator
              ModelSim




                                  Text Output
  Waveform
tcounter.v

module test_counter;               initial // Test stimulus
reg        clk, reset;              begin
wire [7:0] count;                    reset = 0;
                                     #5 reset = 1;
counter dut (count, clk, reset);     $display(quot;helloquot;);
                                     #4 reset = 0;
initial // Clock generator          end
  begin
   clk = 0;                        initial
   forever #10 clk = !clk;            $monitor($stime,, reset,, clk,,, count);
  end
                                   endmodule
counter.v

module counter (count, clk, reset);   module and module port
output [7:0] count;                   declaration: output, input, inout
input clk, reset;
                                      reg     data type register
reg [7:0] count;

always @ (posedge clk or posedge
     reset)
 if (reset)
    count = 8'h00;
 else
    count <= count + 8'h01;

endmodule
Verilog Module

 test_counter
                  dut
   Stimulus and
   control
                                            Response
                                             monitor
                               count[7:0]
                  clk
                                            verification
                  reset

                          counter
TOP DOWN Design Hier-
 archy
Module
Module port
Module Instance
Test Bench
Procedure Blocks
Applying stimulus
                             Time clk                 reset
initial // Clock generator
                             0            0           0
  begin
                             5            0           1
   clk = 0;
                             9            0           0
   forever #10 clk = !clk;
                             10           1           0
  end
                             20           0           0
                             30           1           0
initial // Test stimulus
                             ...........................
  begin
   reset = 0;
   #5 reset = 1;             What is time unit ?
   #4 reset = 0;
  end
Checking the Response


Example:
$monitor($time, o, in1, in2);
$monitor($time,, out,, a,, b,, sel);
$monitor($time,, ”%b %h %d %o”, sig1, sig2, sig3,
  sig4);


Syntax: C-Like
$monitor ([”format_specifiers”,] arguments);
Behavior Modeling
Describes a system by the flow of data between its
  functional blocks, or algorithm
Defines signal values when they change




                                      a=b*c




                         wait a;
RTL Modeling
Describes a system by the flow of data and control
  signals between and within its functional blocks
Defines signal values with respect to a clock
Structure Modeling
Describes a system by connecting predefined components
  Uses technology-specific, low-level components when
  mapping from an RTL description to a gate-level netlist,
  such as during synthesis
RTL Synthesis
Why we skip Logic Optim-
 ization

      HDL
  ●
      FPGA/CPLD vs TTL, ASIC Cell
  ●
  ●
  ●
      Let Machine do what it excels
  ●
      You give direction.
  ●
      You have to know what you really want.
      Speed, Area, Power
  ●
      Design Scale Dependent.
  ●
Summary


    Brief History
  ●
    Review of Number system and Boolean Al-
  ●
    gebra
  ● Basic concept of CPLD/FPGA
  ● HDL Tour:
   ● modules; ports, instances
   ● Test Bench
   ● Stimulus
   ● Response

More Related Content

What's hot

Class 1: The Fundamental of Designing with Semiconductors
Class 1: The Fundamental of Designing with SemiconductorsClass 1: The Fundamental of Designing with Semiconductors
Class 1: The Fundamental of Designing with SemiconductorsAnalog Devices, Inc.
 
Sensors for Low Level Signal Acquisition - VE2013
Sensors for Low Level Signal Acquisition - VE2013Sensors for Low Level Signal Acquisition - VE2013
Sensors for Low Level Signal Acquisition - VE2013Analog Devices, Inc.
 
Functional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoFunctional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoInterlatin
 
2011年12月度スパイス・パークのスパイスモデルリスト
2011年12月度スパイス・パークのスパイスモデルリスト2011年12月度スパイス・パークのスパイスモデルリスト
2011年12月度スパイス・パークのスパイスモデルリストTsuyoshi Horigome
 
SPICE MODEL of 2SC3359S in SPICE PARK
SPICE MODEL of 2SC3359S in SPICE PARKSPICE MODEL of 2SC3359S in SPICE PARK
SPICE MODEL of 2SC3359S in SPICE PARKTsuyoshi Horigome
 
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541マルツエレック株式会社 marutsuelec
 
Keysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoKeysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoInterlatin
 
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARKSPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 

What's hot (10)

Class 1: The Fundamental of Designing with Semiconductors
Class 1: The Fundamental of Designing with SemiconductorsClass 1: The Fundamental of Designing with Semiconductors
Class 1: The Fundamental of Designing with Semiconductors
 
SPICE Model of TA7291P
SPICE Model of TA7291PSPICE Model of TA7291P
SPICE Model of TA7291P
 
Sensors for Low Level Signal Acquisition - VE2013
Sensors for Low Level Signal Acquisition - VE2013Sensors for Low Level Signal Acquisition - VE2013
Sensors for Low Level Signal Acquisition - VE2013
 
Functional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoFunctional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days Bajío
 
2011年12月度スパイス・パークのスパイスモデルリスト
2011年12月度スパイス・パークのスパイスモデルリスト2011年12月度スパイス・パークのスパイスモデルリスト
2011年12月度スパイス・パークのスパイスモデルリスト
 
SPICE MODEL of 2SC3359S in SPICE PARK
SPICE MODEL of 2SC3359S in SPICE PARKSPICE MODEL of 2SC3359S in SPICE PARK
SPICE MODEL of 2SC3359S in SPICE PARK
 
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541
シミュレーション事例:擬似共振電源回路シミュレーション Quasi-Resonant Switching Power Supply using FA5541
 
Keysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoKeysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days México
 
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARKSPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of 2SK3019 (Professional+BDP Model) in SPICE PARK
 
Syllabus
SyllabusSyllabus
Syllabus
 

Viewers also liked

Modern Digital Design: The power of Responsive Design
Modern Digital Design: The power of Responsive DesignModern Digital Design: The power of Responsive Design
Modern Digital Design: The power of Responsive DesignValtech UK
 
Modern typeface
Modern typeface Modern typeface
Modern typeface Ujjwal Roy
 
A History of Mid Century Modern Furniture Design
A History of Mid Century Modern Furniture DesignA History of Mid Century Modern Furniture Design
A History of Mid Century Modern Furniture DesignSebastian Arciszewski
 
The Renaissance Innovation Method: MBA Class
The Renaissance Innovation Method: MBA ClassThe Renaissance Innovation Method: MBA Class
The Renaissance Innovation Method: MBA ClassKaran Girotra
 
Gender in 19th century art final
Gender in 19th century art finalGender in 19th century art final
Gender in 19th century art finalAHTR
 
The origins of contemporary art, historical, social and cultural context in t...
The origins of contemporary art, historical, social and cultural context in t...The origins of contemporary art, historical, social and cultural context in t...
The origins of contemporary art, historical, social and cultural context in t...rosabrito
 
Ahtr sexuality final
Ahtr sexuality finalAhtr sexuality final
Ahtr sexuality finalAHTR
 
The British culture of 19th century
The British culture of 19th centuryThe British culture of 19th century
The British culture of 19th centuryMarina R
 
Art nouveau furniture
Art nouveau furnitureArt nouveau furniture
Art nouveau furnitureSing Ho WOng
 

Viewers also liked (11)

My Journey
My JourneyMy Journey
My Journey
 
Modern Digital Design: The power of Responsive Design
Modern Digital Design: The power of Responsive DesignModern Digital Design: The power of Responsive Design
Modern Digital Design: The power of Responsive Design
 
301 Final
301 Final301 Final
301 Final
 
Modern typeface
Modern typeface Modern typeface
Modern typeface
 
A History of Mid Century Modern Furniture Design
A History of Mid Century Modern Furniture DesignA History of Mid Century Modern Furniture Design
A History of Mid Century Modern Furniture Design
 
The Renaissance Innovation Method: MBA Class
The Renaissance Innovation Method: MBA ClassThe Renaissance Innovation Method: MBA Class
The Renaissance Innovation Method: MBA Class
 
Gender in 19th century art final
Gender in 19th century art finalGender in 19th century art final
Gender in 19th century art final
 
The origins of contemporary art, historical, social and cultural context in t...
The origins of contemporary art, historical, social and cultural context in t...The origins of contemporary art, historical, social and cultural context in t...
The origins of contemporary art, historical, social and cultural context in t...
 
Ahtr sexuality final
Ahtr sexuality finalAhtr sexuality final
Ahtr sexuality final
 
The British culture of 19th century
The British culture of 19th centuryThe British culture of 19th century
The British culture of 19th century
 
Art nouveau furniture
Art nouveau furnitureArt nouveau furniture
Art nouveau furniture
 

Similar to Day1 02.Introduction

B tech Final Year Projects & Embedded Systems Training
B tech Final Year Projects & Embedded Systems Training B tech Final Year Projects & Embedded Systems Training
B tech Final Year Projects & Embedded Systems Training Technogroovy India
 
Embedded Systems & Robotics Projects
Embedded Systems & Robotics Projects Embedded Systems & Robotics Projects
Embedded Systems & Robotics Projects Technogroovy India
 
SPICE MODEL of RN1966FS in SPICE PARK
SPICE MODEL of RN1966FS in SPICE PARKSPICE MODEL of RN1966FS in SPICE PARK
SPICE MODEL of RN1966FS in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARK
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARKSPICE MODEL of TLP521-2 SAMPLE A in SPICE PARK
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARKTsuyoshi Horigome
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationDVClub
 
デザインキット・PV Li-Ion Battery Systemの解説書
デザインキット・PV Li-Ion Battery Systemの解説書 デザインキット・PV Li-Ion Battery Systemの解説書
デザインキット・PV Li-Ion Battery Systemの解説書 Tsuyoshi Horigome
 
SPICE MODEL of RN1964FS in SPICE PARK
SPICE MODEL of RN1964FS in SPICE PARKSPICE MODEL of RN1964FS in SPICE PARK
SPICE MODEL of RN1964FS in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TLP624 in SPICE PARK
SPICE MODEL of TLP624 in SPICE PARKSPICE MODEL of TLP624 in SPICE PARK
SPICE MODEL of TLP624 in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of PC817X in SPICE PARK
SPICE MODEL of PC817X in SPICE PARKSPICE MODEL of PC817X in SPICE PARK
SPICE MODEL of PC817X in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TLP628 SAMPLE A in SPICE PARK
SPICE MODEL of TLP628 SAMPLE A in SPICE PARKSPICE MODEL of TLP628 SAMPLE A in SPICE PARK
SPICE MODEL of TLP628 SAMPLE A in SPICE PARKTsuyoshi Horigome
 
Advanced view of atmega microcontroller projects list (1632) at mega32 avr
Advanced view of atmega microcontroller projects list (1632)   at mega32 avrAdvanced view of atmega microcontroller projects list (1632)   at mega32 avr
Advanced view of atmega microcontroller projects list (1632) at mega32 avrWiseNaeem
 
SPICE MODEL of TLP621 SAMPLE A in SPICE PARK
SPICE MODEL of TLP621 SAMPLE A in SPICE PARKSPICE MODEL of TLP621 SAMPLE A in SPICE PARK
SPICE MODEL of TLP621 SAMPLE A in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of RN1906AFS in SPICE PARK
SPICE MODEL of RN1906AFS in SPICE PARKSPICE MODEL of RN1906AFS in SPICE PARK
SPICE MODEL of RN1906AFS in SPICE PARKTsuyoshi Horigome
 

Similar to Day1 02.Introduction (20)

B tech Final Year Projects & Embedded Systems Training
B tech Final Year Projects & Embedded Systems Training B tech Final Year Projects & Embedded Systems Training
B tech Final Year Projects & Embedded Systems Training
 
Badal sharma
Badal sharmaBadal sharma
Badal sharma
 
Embedded Systems & Robotics Projects
Embedded Systems & Robotics Projects Embedded Systems & Robotics Projects
Embedded Systems & Robotics Projects
 
SPICE MODEL of RN1966FS in SPICE PARK
SPICE MODEL of RN1966FS in SPICE PARKSPICE MODEL of RN1966FS in SPICE PARK
SPICE MODEL of RN1966FS in SPICE PARK
 
embedded system bye Sj
embedded system bye Sjembedded system bye Sj
embedded system bye Sj
 
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARK
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARKSPICE MODEL of TLP521-2 SAMPLE A in SPICE PARK
SPICE MODEL of TLP521-2 SAMPLE A in SPICE PARK
 
Herrington dv club_sept19-1
Herrington dv club_sept19-1Herrington dv club_sept19-1
Herrington dv club_sept19-1
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal Verification
 
デザインキット・PV Li-Ion Battery Systemの解説書
デザインキット・PV Li-Ion Battery Systemの解説書 デザインキット・PV Li-Ion Battery Systemの解説書
デザインキット・PV Li-Ion Battery Systemの解説書
 
Autobots
AutobotsAutobots
Autobots
 
SPICE MODEL of RN1964FS in SPICE PARK
SPICE MODEL of RN1964FS in SPICE PARKSPICE MODEL of RN1964FS in SPICE PARK
SPICE MODEL of RN1964FS in SPICE PARK
 
SPICE MODEL of TLP624 in SPICE PARK
SPICE MODEL of TLP624 in SPICE PARKSPICE MODEL of TLP624 in SPICE PARK
SPICE MODEL of TLP624 in SPICE PARK
 
SPICE MODEL of PC817X in SPICE PARK
SPICE MODEL of PC817X in SPICE PARKSPICE MODEL of PC817X in SPICE PARK
SPICE MODEL of PC817X in SPICE PARK
 
At24 c512b
At24 c512bAt24 c512b
At24 c512b
 
SPICE MODEL of TLP628 SAMPLE A in SPICE PARK
SPICE MODEL of TLP628 SAMPLE A in SPICE PARKSPICE MODEL of TLP628 SAMPLE A in SPICE PARK
SPICE MODEL of TLP628 SAMPLE A in SPICE PARK
 
Advanced view of atmega microcontroller projects list (1632) at mega32 avr
Advanced view of atmega microcontroller projects list (1632)   at mega32 avrAdvanced view of atmega microcontroller projects list (1632)   at mega32 avr
Advanced view of atmega microcontroller projects list (1632) at mega32 avr
 
SPICE MODEL of TLP621 SAMPLE A in SPICE PARK
SPICE MODEL of TLP621 SAMPLE A in SPICE PARKSPICE MODEL of TLP621 SAMPLE A in SPICE PARK
SPICE MODEL of TLP621 SAMPLE A in SPICE PARK
 
5 FINAL PROJECT REPORT
5 FINAL PROJECT REPORT5 FINAL PROJECT REPORT
5 FINAL PROJECT REPORT
 
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8302 (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of RN1906AFS in SPICE PARK
SPICE MODEL of RN1906AFS in SPICE PARKSPICE MODEL of RN1906AFS in SPICE PARK
SPICE MODEL of RN1906AFS in SPICE PARK
 

More from Ron Liu

Engineer
EngineerEngineer
EngineerRon Liu
 
Start up nations
Start up nationsStart up nations
Start up nationsRon Liu
 
XXX-Company-my viewpoints-2011-03-07
XXX-Company-my viewpoints-2011-03-07XXX-Company-my viewpoints-2011-03-07
XXX-Company-my viewpoints-2011-03-07Ron Liu
 
book digest: product design and development
book digest: product design and developmentbook digest: product design and development
book digest: product design and developmentRon Liu
 
The Hero Within
The Hero WithinThe Hero Within
The Hero WithinRon Liu
 
About Thinking
About ThinkingAbout Thinking
About ThinkingRon Liu
 
書摘Teach Your Child How To Think
書摘Teach Your Child How To Think書摘Teach Your Child How To Think
書摘Teach Your Child How To ThinkRon Liu
 
Day3 順序控制的組合邏輯實現
Day3 順序控制的組合邏輯實現Day3 順序控制的組合邏輯實現
Day3 順序控制的組合邏輯實現Ron Liu
 
Day4 Lab
Day4 LabDay4 Lab
Day4 LabRon Liu
 
Day4 Open
Day4 OpenDay4 Open
Day4 OpenRon Liu
 
Day4 順序控制的循序邏輯實現
Day4 順序控制的循序邏輯實現Day4 順序控制的循序邏輯實現
Day4 順序控制的循序邏輯實現Ron Liu
 
Day3 Lab
Day3 LabDay3 Lab
Day3 LabRon Liu
 
Day3 Quartus II Tutorial
Day3 Quartus II TutorialDay3 Quartus II Tutorial
Day3 Quartus II TutorialRon Liu
 
Day3 實驗板介紹
Day3 實驗板介紹Day3 實驗板介紹
Day3 實驗板介紹Ron Liu
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL BasicRon Liu
 
Day2.Combinational Logic
Day2.Combinational LogicDay2.Combinational Logic
Day2.Combinational LogicRon Liu
 
Day2 Intro. Model Sim
Day2 Intro. Model SimDay2 Intro. Model Sim
Day2 Intro. Model SimRon Liu
 
Day2 Logic Circuits
Day2 Logic CircuitsDay2 Logic Circuits
Day2 Logic CircuitsRon Liu
 
Day2 Open
Day2 OpenDay2 Open
Day2 OpenRon Liu
 
Day1 Lab1
Day1 Lab1Day1 Lab1
Day1 Lab1Ron Liu
 

More from Ron Liu (20)

Engineer
EngineerEngineer
Engineer
 
Start up nations
Start up nationsStart up nations
Start up nations
 
XXX-Company-my viewpoints-2011-03-07
XXX-Company-my viewpoints-2011-03-07XXX-Company-my viewpoints-2011-03-07
XXX-Company-my viewpoints-2011-03-07
 
book digest: product design and development
book digest: product design and developmentbook digest: product design and development
book digest: product design and development
 
The Hero Within
The Hero WithinThe Hero Within
The Hero Within
 
About Thinking
About ThinkingAbout Thinking
About Thinking
 
書摘Teach Your Child How To Think
書摘Teach Your Child How To Think書摘Teach Your Child How To Think
書摘Teach Your Child How To Think
 
Day3 順序控制的組合邏輯實現
Day3 順序控制的組合邏輯實現Day3 順序控制的組合邏輯實現
Day3 順序控制的組合邏輯實現
 
Day4 Lab
Day4 LabDay4 Lab
Day4 Lab
 
Day4 Open
Day4 OpenDay4 Open
Day4 Open
 
Day4 順序控制的循序邏輯實現
Day4 順序控制的循序邏輯實現Day4 順序控制的循序邏輯實現
Day4 順序控制的循序邏輯實現
 
Day3 Lab
Day3 LabDay3 Lab
Day3 Lab
 
Day3 Quartus II Tutorial
Day3 Quartus II TutorialDay3 Quartus II Tutorial
Day3 Quartus II Tutorial
 
Day3 實驗板介紹
Day3 實驗板介紹Day3 實驗板介紹
Day3 實驗板介紹
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
 
Day2.Combinational Logic
Day2.Combinational LogicDay2.Combinational Logic
Day2.Combinational Logic
 
Day2 Intro. Model Sim
Day2 Intro. Model SimDay2 Intro. Model Sim
Day2 Intro. Model Sim
 
Day2 Logic Circuits
Day2 Logic CircuitsDay2 Logic Circuits
Day2 Logic Circuits
 
Day2 Open
Day2 OpenDay2 Open
Day2 Open
 
Day1 Lab1
Day1 Lab1Day1 Lab1
Day1 Lab1
 

Recently uploaded

Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 

Recently uploaded (20)

Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 

Day1 02.Introduction

  • 2. Introduction Brief history of modern electronic design
  • 3. History: x86 and ..... 10B PAL TTL Altera MMI '60 '83 '78 MOS Xilinx CMOS '84 IC 1B tsmc '65 Moore's Law 100M IBM Apple IBM PC II PC AT 10M
  • 4. Moore's Law 1965: a doubling every 12 months 1975: the future rate of increase in a complexity to doubling every two years
  • 5. Evolution of PC mother- board 286(MCU+TTL or PAL) today(MCU+N+S)
  • 6. A Motorola 68000-based com- puter with various TTL chips
  • 7. A real-time clock built of TTL chips designed about 1979.
  • 9. Logic IC Technology Life Cycle: ti/2007
  • 10.
  • 11. PAL(Programmable Array Lo- MMI, gic) 1978 GAL, Lattice, 1985 AMD, 1983
  • 12. History of PLD: Altera CMOS PLD $B 3.0 Simple Control Logic Complex System SOPC Logic Logic 2.5 CPLD SPLD 2.0 1.5 1.0 MAX+PLUS II MAX 7000 7032V AHDL MAX 9000 0.5 ALTR TTL LIB FLEX 8000 FLEX 10K FLEX 10KA APEX Mercury Stratix IPO EP1200 ACCESS EDA IP Library FLEX10KE ARM Transceivers First EPB1400 MAX 7000A Nios Cyclone PLD 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03
  • 13. Application : World of Digital and Ana- log Computation, control, communication Analog: ● continuous time temperature, pres- sure Why Digital? Digital: ● discrete time ex,
  • 17. Introduction What kind of Problems to be solved by logic design
  • 18. What kinds of problem Digital Only: two Value, Binary, 1/0 ● ● Control ● Communication ● Computation ● ●
  • 19. Example: Detector and control Event: True (T) ON False(F) OFF Voltage: High (H) 1 Low(L) 0 Sensor Input: T, Pressure If (A OR B OR C) then If (T > 80) then ALARM ALARM else else
  • 20. Example: Sequence Starting up your Car Motor control Washing Machine Off Menu of Cellar Phone Off Off Start Start Running
  • 21. Solution to Logic Design: Why PLD Consideration HW ● ● Performance Full Custom ● ● Cost ASIC(std Cell) ● ● Schedule Discrete: TTL, ..... ● ● CPLD ● ● FPGA ● SW/FW ● ● Mixed HW/SW ● uC ● ●
  • 22. Rising Cost of Development Technology RTL Gates Verification Synthesis + P&R Engineering Qtr./Person Qtr./Person Qtr./Person Man Years 0.35 µ 14 30K 15K 125K 0.25 µ 30 36K 18K 300K 0.18 µ 38 50K 25K 500K 0.15 µ 41 75K 38K 750K 0.13 µ 46 110K 55K 1.0M 0.09 µ 52 150K 75K 1.25M Technology Engineering Costs ($Ms) Masks & Wafers ($) 0.35 µ 2 80K 0.25 µ 5 160K 0.18 µ 6.4 400K 0.15 µ 7.3 600K 0.13 µ 8.8 900K 0.09 µ 10.4 1.3M
  • 24. How we design Structural module, symbol Schematic: lines, name Functional module Physical name Block Cell Language: HDL Wire Level of Abstraction Behavior, system
  • 25. Introduction Review of Binary Number & Boolean Algebra
  • 26. Binary Number System LSB: least significant bit MSB: Most significant bit
  • 27. How many bits are suffi- cent Given N, the minimum integer k, Telephone: 8bits k ● 2 N ● N=10, k=4 CD : 16bits ● ● E-ASCII Code, k=8 ● { high, medium, low}, k=2 ● 3 digital: 0℃ ~ 100℃, k=7 ● K=8, N= 0 ~ 255 ● K=10, N=0 ~ 1023 1K ● K=16, N=0 ~ 65,535 64K ● K=32, N=0 ~ 4,294,967,295 4KKK = 4G ●
  • 28. Binary and Decimal Con- version Binary to Decimal Decimal to Binary
  • 29. Binary Addition and Sub- traction 0+0→0 ● 1 1 1 1 1 (carried digits) 01101 0Dh 13 0+1→1 ● + 10111 17h 23 1+0→1 ● --------------------------------------- =1 0 0 1 0 0 24h 36 1 + 1 → 0, ● carry 1 (1+1 → 10) 0−0→0 (starred columns are borrowed from) ● * * ** 0 − 1 → 1, ● 1101110 6Eh 110 − 10111 17h 23 borrow 1 ------------------------------------------ 1−0→1 =1 0 1 0 1 1 1 57h 87 ● 1−1→0 ●
  • 30. 2's Complement(1) 10's complement of 95 = 10^2 – 95 =5 ● 9's complement of 95 = 99 – 95 = ● 4 ● CM(10's) = CM(9's) + 1 ● 2's complement 0001 = 2^4 – 0001 = 1111 ● 1's complement 0001 = 2^4 – 1 – 0001 An N-bit 1110 = two's-complement numeral system can represent every integer in the range −2^(N-1) to +2^(N-1)-1. 4bit: -2^(3)= -8 to +2^3-1 = +7 8bit: -128 to +127 In this way, we only need ADDER But how it works??
  • 31. 2's Complement(2) A - B = A + (not B + 1) // ( ) :2's comple- ● ment
  • 32. 2's complement(3) Overflow ●
  • 33. What is Logic: Boolean al- gebra a logical calculus of truth values, ● developed by George Boole(1815~1864, English). ● Algebra of two values. These are usu- ally taken to be 0 and 1, false and true, low and high NOT: ¬A, ~A, !A If A = 0 then !A = 1 and if A = 1 then !A= 0 AND: A ︿ B, A*B, AB A product term is 1 only when all terms are a 1 OR: A ﹀ B, A+B A product term is 1 only when any terms is a 1
  • 34. Law of Bolean Algebra commutativity 交換律 ● A+B = B+A, A*B = B*A Associativity 結合律 ● A+(B+C) = (A+B)+C, A*(B*C) = (A*B)*C Distributive 分配律 ● A*(B+C) = A*B+A*C
  • 35. Bolean Algebra: basic rules 10. A + A*B = A 1. A + 0 = A = A*(1 + B) where (1+B) according to Rule 2 is equal to 1 2. A + 1 = 1 =A 3. A*0 = 0 11. A*(A+B) = A 4. A*1 = A 5. A + A = A =A*A + A*B Distributive Law 6. A + !A = 1 =A+A*B Rule 7 =A Rule 10 7. A*A = A 12. A + !A*B = A + B 8. A*(!A)= 0 = A*(B+1) + !A*B according to Rule 2 (B+1) = 1 9. !(!A)= A = A*B +A + !A*B = B*(A + !A ) + A according to Rule 6 A + ~A = 1 =B+A 13. (A+B)*(A+C) = A+B*C = A*A+A*C+A*B+B*C applying the Distributive Law = A*(1+C+B) +B*C according to Rule 2 (1+B+C) = 1 = A+B*C
  • 36. Bolean Algebra: De Morgan's laws De Morgan's laws, ● !(A*B) = !A + !B , !(A+B) = !A * !B Example ● ![(A+ B*C)*(A*C+ B)] = ![(A+B*C)] + ![(A*C+B)] = [!A]*[!(B*C)] + ![A*C] * [!B] = !A *[!B + !C] + [!A + !C] * [!B] = !A* !B + !A*!C + !A*!B + !C*!B = !A* !B + !A*!C + !B*!C
  • 37. Bolean Algebra: Switch LED = X*Y LED = X + Y
  • 38. Boolean Algebra: Gate Symbol, Truth Table(1) AND OR NOT
  • 39. Boolean Algebra: Gate Symbol, Truth Table(2) XOR XNOR NAND NOR
  • 42. NAND: an fan failure de- tector 0: Fail 1: Ok ALARM Positive Logic Negative Logic
  • 43. NOR: an detecor in Wash- ing Machine 1: Lid open Normal 1: < min water level OFF 1: > max weight
  • 44. SOP(sum of product) POS(product of sum) SOP To derive the Sum of Products form from a truth table, OR together all of the minterms which give a value of 1. POS To derive the Product of Sums form from a truth table, AND together all of the maxterms which give a value of 0. Any boolean expression may be expressed in terms of either minterms or maxterms.
  • 45. Will we start design now ? With knowledge up to now, we can start to design ● 『 combinational 』 logic circuit such as Decoder, Adder,,,, etc, whenever we have a 『 spec 』 or 『 truth table 』 derived from the problem re- quirement. Traditionally, Karnaugh Map & Boolean Expres- ● sion Simplification technics will be introduced for design optimization. But.....we will skip this portion since we are using ● HDL and FPGA/CPLD other than TTL or ASIC. Let's see “why” later. ●
  • 46. Introduction Evolution of Programmable Logic device From TTL to CPLD, FPGA
  • 48. PROM: combinational logic implemena- tion
  • 50. PAL(Programmable Array Lo- gic) fixed-OR, programmable-AND SOP Security Flexibility Tools: HDL – ABEL, CUPL, PALASM quot;one-time programmablequot; (OTP) SOP
  • 51. GAL(Generic Array Logic) 22V10 EEPROM
  • 52. GAL OLMC(OUTPUT LOGIC MACROCELL) Output Select Input/Feedback Select
  • 53. CPLD Global Routing, more control on IO
  • 56. FPGA
  • 58. MAX II and Cyclone Family
  • 62. MAX II Device Floor Plan CFM: Configuration Flash Memory UFM: User Flash Memory
  • 63. MAX II Global Signal: Clock, or con- IO PINS trol Generated Internally Design Style
  • 65. FPGA CPLD Comparison FGPA CPLD Fine-Grain, Coarse-Grain, Arch PAL Gate-array Like like LAB LE, LUT Layout LAB around Global Connect Grid Array Interconn LAB Local and Global LAB Local and row/col Conf (E)EPROM-based SRAM-based instant-on require programming Scale Medium to small Very Large design timing Fast, predictable dependent memory No memory large memory Power Less power High power misc NA DSP, TRCVR
  • 67. Introduction FPGA/CPLD Design Environment & Flow Generic introduction and RTL simu- lation
  • 70. Design Flow ModelSim Programming
  • 72. Introduction What is Verilog HDL, take a tour of 1 st tutorial example logic simulation
  • 73. What is HDL A Hardware Description Language (HDL) is a high-level programming language that offers special constructs with which you can model microelectronic circuits. These special language constructs permit you to: Describe the operation of a circuit at various levels of abstraction, behavior, function, structure, timing of a circuit. Language for the concurrency of hardware, not a software language timing Synthesis: mapping to physical
  • 74. Why HDL Top-down design methodology using synthes- ● is Implementation/technology highly independ- ● ent Quickly/easily explore design alternatives ● Architectural problems ● Re-use ● Design productivity ● Take advantage of mature software design ● practices
  • 75. Verilog History 1980’s Gateway Design Automation developed Veri- ● log 1990 Cadence acquired Gateway ● 1991 Cadence released Verilog to the public do- ● main. 1995 IEEE ratified the Verilog LRM ● We will use (IEEE Std. 1364-1995) 2001 IEEE updated the Verilog LRM ● System Verilog ●
  • 76. Verilog Usage System architects: ● system level Simulations ASIC and FPGA designers: ● RTL code for synthesis Verification engineers: ● tests for all level of simulation Model developers: ● component behavior, ex DRAM SystemVerilog
  • 77. Introduction Lab1: your 1st Verilog 『 Program 』
  • 78. What we did in Lab1 Model Test Bench counter,v tcounter.v Simulator ModelSim Text Output Waveform
  • 79. tcounter.v module test_counter; initial // Test stimulus reg clk, reset; begin wire [7:0] count; reset = 0; #5 reset = 1; counter dut (count, clk, reset); $display(quot;helloquot;); #4 reset = 0; initial // Clock generator end begin clk = 0; initial forever #10 clk = !clk; $monitor($stime,, reset,, clk,,, count); end endmodule
  • 80. counter.v module counter (count, clk, reset); module and module port output [7:0] count; declaration: output, input, inout input clk, reset; reg data type register reg [7:0] count; always @ (posedge clk or posedge reset) if (reset) count = 8'h00; else count <= count + 8'h01; endmodule
  • 81. Verilog Module test_counter dut Stimulus and control Response monitor count[7:0] clk verification reset counter
  • 82. TOP DOWN Design Hier- archy
  • 88. Applying stimulus Time clk reset initial // Clock generator 0 0 0 begin 5 0 1 clk = 0; 9 0 0 forever #10 clk = !clk; 10 1 0 end 20 0 0 30 1 0 initial // Test stimulus ........................... begin reset = 0; #5 reset = 1; What is time unit ? #4 reset = 0; end
  • 89. Checking the Response Example: $monitor($time, o, in1, in2); $monitor($time,, out,, a,, b,, sel); $monitor($time,, ”%b %h %d %o”, sig1, sig2, sig3, sig4); Syntax: C-Like $monitor ([”format_specifiers”,] arguments);
  • 90. Behavior Modeling Describes a system by the flow of data between its functional blocks, or algorithm Defines signal values when they change a=b*c wait a;
  • 91. RTL Modeling Describes a system by the flow of data and control signals between and within its functional blocks Defines signal values with respect to a clock
  • 92. Structure Modeling Describes a system by connecting predefined components Uses technology-specific, low-level components when mapping from an RTL description to a gate-level netlist, such as during synthesis
  • 94. Why we skip Logic Optim- ization HDL ● FPGA/CPLD vs TTL, ASIC Cell ● ● ● Let Machine do what it excels ● You give direction. ● You have to know what you really want. Speed, Area, Power ● Design Scale Dependent. ●
  • 95. Summary Brief History ● Review of Number system and Boolean Al- ● gebra ● Basic concept of CPLD/FPGA ● HDL Tour: ● modules; ports, instances ● Test Bench ● Stimulus ● Response