SlideShare a Scribd company logo
1 of 26
Download to read offline
LAPORAN PRAKTIKUM
SISTEM DIGITAL
RANGKAIAN PENGUBAH SANDI BCD KE PERAGA
7-SEGMEN
Oleh :
Nama : Ayu Purwati
NIM : 14302241028
Kelas : Pendidikan Fisika I
LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI
JURUSAN PENDIDIKAN FISIKA
FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM
2016
Percobaan 5
RANGKAIAN PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN
A. Tujuan Praktikum
1. Membandingkan hasil praktikum dengan teori
2. Mengetahui cara kerja rangkaian pengubah sandi BCD ke peraga 7-segmen
B. Alat – alat
1. Catu daya (5V)
2. IC dengan seri 7447 dan 7448
3. Peraga 7 segmen (Anoda bersama dan Katoda bersama)
4. Kabel penghubung
5. Resistor
6. Multimeter Analog
C. Langkah Percobaan
1. Mengecek jenis peraga 7-segmen apakah anoda bersama atau katoda bersama
dengan menggunakan multimeter
2. Merangkai rangkaian untuk masing –masing fungsi IC seperti gambar berikut :
Keterangan :
Input A : Kabel Hitam
Peraga 7-segmen
Resistor 270Ω
Input B : Kabel Biru
Input C : Kabel Putih
Input D : Kabel Abu-abu
Dengan catatan :
IC 7447 dihubungkan dengan peraga 7-segmen anoda bersama dan resistor
dihubungkan pada kaki tengah bagian atas peraga 7-segmen dan Vcc
IC 7448 dihubungkan dengan peraga 7-segmen katoda bersama dan resistor
dihubungkan pada kaki tengah bagian bawah peraga 7-segmen dan ground.
3. Mengatur Vcc sebesar 5 volt sebelum masuk pada rangkaian,
4. Memberi nilai pada input masing – masing untuk biner 9 nilai angka desimal
5. Mengamati hasil keluaran dengan memperhatikan peraga 7-segmen yang
menyala,
6. Mencatat hasil yang diperoleh.
D. Landasan Teori
Dalam suatu mesin digital, instruksi dan informasi (data) disajikan dalam
bentuk biner, karena mesin digital hanya dapat menanggapi dan mengolah data dalam
bentuk biner. Kita sering melihat atau bahkan menggunakan mesin-mesin digital
seperti multimeter digital, termometer digital, jam digital, komputer, kalkulator, dan
lain-lain. Tampilan yang langsung dapat kita lihat dari alat tersebut berupa angka
desimal, padahal proses yang terjadi di dalamnya berbentuk biner. Instruksi ataupun
informasi dalam bentuk biner tidak kita sukai, selain karena lebih rumit juga kurang
praktis dan di luar kebiasaan. Kita telah terbiasa dengan huruf dari A sampai Z
maupun angka-angka 0, 1, 2, ... 9. Sehingga apabila disajikan angka atau kata dalam
bentuk biner pada umumnya tidak segera diketahui maknanya . Misalkan disajikan
sederet bit 0001011, kita tidak segera tahu deretan bit itu menyatakan angka atau
huruf. Jika angka, sederet bit tersebut dapat menunjukkan angka 17 atau bahkan
angka 23 sebagaimana biasa kita kenal. Agar 00010111 dapat tampil sebagai 17 atau
23 diperlukan teknik maupun rangkaian tertentu. Hal ini juga berlaku untuk langkah
sebaliknya, agar angka 17 atau 23 dapat dikenali oleh suatu mesin digital sebagai
00010111 diperlukan teknik dan rangkaian tertentu pula. (Sumarna, 2015)
Display 7 segment merupakan komponen yang berfungsi sebagai penampil
karakter angka dan karakter huruf. Display 7 segment sering juga disebut sebgai
penampil 7 ruas. Pada display 7 segment juga dilengkapi karakter titik (dot) yang
sering dibutuhkan untuk karakter koma atau titik pada saat menampilkan suatu
bilangan. Display 7 segment terdiri dari 7 penampil karakter yang disusun dalam
sebuah kemasan sehingga dapat menampilkan karakter angka dan karakter huruf.
Terdapat 7 buah penampil dasar dari LED (Light Emiting Diode) yang dinamakan
karakter A-F dan karakter dot. Bentuk susunan karakter penampil karakter A-F pada
display 7 segmen dapat dilihat pada gambar berikut. (http://elektronika-
dasar.web.id/display-7-segment/)
Pada dasarnya penampil 7 segment merupakan rangkaian 7 buah dioda LED
(Light Emiting Diode). Terdapat 2 (dua) jenis rangkaian dasar dari display 7 segment
yang dikenal sebagai display 7 segment common anoda (CA) dan common cathoda
(CC). Pada display common anoda untuk mengaktifkan karakter display 7 segment
diperlukan logika low (0) pada jalur A-F dan DP dan sebaliknya untuk display 7
segment common cathoda (CA). (http://elektronika-dasar.web.id/display-7-segment/)
Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi untuk
mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan
untuk penampil/display 7 segment yang bekerja pada tegangan TTL (+5 volt DC).
Dekoder BCD ke 7 segmen yang digunakan adalah jenis TTL. Dekoder BCD ke 7
segmen jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan
keluarga IC TTL 7448. Kedua IC TTL tersebut memiliki fungsi yang sama namun
peruntukannya berbeda, IC 7447 digunakan untuk driver 7 segment common anoda
sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC
dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment
karena selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7
segment. Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 Dan 7448 Jalur input
data BCD, pin input ini terdiri dari 4 line input yang mewakili 4 bit data BCD dengan
sebutan jalur input A, B, C dan D. Jalur ouput 7 segmen, pin output ini berfungsi
untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder
BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g.
Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led pada penampil 7
segmen, jalur LT akan aktif pad saat diberikan logika LOW pad jalut LT tersebut.
Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan sinyal input (disable
input), jalur RBI akan aktif bila diberikan logika LOW. Jalur RBO (Riple blanking
Output) yang berfungsi untuk menahan data output ke penampil 7 segmen (disable
output), jalur RBO ini akan aktif pada saat diberikan logika LOW. Dalam aplikasi
decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika HIGH
dengan tujuan data input BCD dapat masuk dan penampil 7 segmen dapat menerima
data tampilan sesuai data BCD yang diberikan pada jalur input.
Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut
adalah sebagai pembatas arus maksimum yang mengalir pada LED penampil 7
segmen dan arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan
dimana arus maksimum yang diperbolehkan maksimum 20 mA. (Triadi dan Nasution,
2013)
E. Data Hasil Praktikum
a. Anoda (IC 7447)
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 1 0 0 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0
3 0 0 1 1 0 0 0 0 1 1 0
4 0 1 0 0 1 0 0 1 1 0 0
5 0 1 0 1 0 1 0 0 1 0 0
6 0 1 1 0 1 1 0 0 0 0 0
7 0 1 1 1 0 0 0 1 1 1 1
8 1 0 0 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 1 1 0 0
LT BI RBI
Keluaran
Gambar
A b c d e f g
1 0 1 1 1 1 1 1 1 1
1 1 0 0 0 0 0 0 0 0
1 0 0 1 1 1 1 1 1 1
0 1 1 0 0 0 0 0 0 0
Gambar Hasil Praktikum:
b. Katoda (IC 7448)
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 0 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
LT BI RBI
Keluaran
Gambar
a b c d e f g
1 0 1 0 0 0 0 0 0 0
1 1 0 1 1 1 1 1 1 1
1 0 0 0 0 0 0 0 0 0
0 1 1 1 1 1 1 1 1 1
Gambar Hasil Praktikum:
F. Analisa Data
a. Anoda
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 1 0 0 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0
3 0 0 1 1 0 0 0 0 1 1 0
4 0 1 0 0 1 0 0 1 1 0 0
5 0 1 0 1 0 1 0 0 1 0 0
6 0 1 1 0 1 1 0 0 0 0 0
7 0 1 1 1 0 0 0 1 1 1 1
8 1 0 0 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 1 1 0 0
10 1 0 1 0 X X X X X X X
11 1 0 1 1 X X X X X X X
12 1 1 0 0 X X X X X X X
13 1 1 0 1 X X X X X X X
14 1 1 1 0 X X X X X X X
15 1 1 1 1 X X X X X X X
0 = nyala
1 = padam
X = don‟t care
 Segmen a
f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 1 X 0
̅ 1 0 X 0
0 0 X X
̅ 0 0 X X
 Segmen b
f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 0 X 0
̅ 0 1 X 0
0 0 X X
̅ 0 1 X X
𝑌 = 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐷̅. 𝐵 + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
= 𝐷̅. 𝐵 + 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑌 = 𝐶̅ + 𝐵𝐴 + 𝐵̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen c
f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 0 X 0
̅ 0 0 X 0
0 0 X X
̅ 1 0 X X
 Segmen d
f(A,B,C,D) = ∑m(0,2,3,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 1 X 0
̅ 1 0 X 0
0 1 X X
̅ 0 0 X X
 Segmen e
f(A,B,C,D) = ∑m(0,2,4,8)
̅ ̅ ̅ ̅
̅ ̅ 0 0 X 0
̅ 1 1 X 1
1 1 X X
̅ 0 1 X X
 Segmen f
f(A,B,C,D) = ∑m(0,4,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 0 X 0
̅ 1 0 X 0
1 1 X X
̅ 1 0 X X
𝑌 = 𝐵̅ + 𝐶 + 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑌 = 𝐷.̅ 𝐴̅ + 𝐷 + 𝐶. 𝐵̅. 𝐴 + 𝐷̅. 𝐶̅. 𝐵 + 𝐵. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑌 = 𝐵̅. 𝐴̅ + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑌 = 𝐵̅. 𝐴̅ + 𝐷 + 𝐶. 𝐴̅ + 𝐶. 𝐵̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen g
f(A,B,C,D) = ∑m(2,3,4,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 0 0 X 0
̅ 1 0 X 0
0 1 X X
̅ 0 0 X X
b. Katoda
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 0 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
10 1 0 1 0 X X X X X X X
11 1 0 1 1 X X X X X X X
12 1 1 0 0 X X X X X X X
13 1 1 0 1 X X X X X X X
14 1 1 1 0 X X X X X X X
15 1 1 1 1 X X X X X X X
1 = nyala
0 = padam
X = keadaan don‟t care
𝑌 = 𝐶̅. 𝐵 + 𝐷 + 𝐶. 𝐵 + 𝐶. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen a
f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 0 X 1
̅ 0 1 X 1
1 1 X X
̅ 1 1 X X
 Segmen b
f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 1 X 1
̅ 1 0 X 1
1 1 X X
̅ 1 0 X X
 Segmen c
f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 1 X 1
̅ 1 1 X 1
1 1 X X
̅ 0 1 X X
 Segmen d
f(A,B,C,D) = ∑m(0,2,3,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 0 X 1
̅ 0 1 X 1
1 0 X X
̅ 1 1 X X
𝑌 = 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐷̅. 𝐵 + 𝐶̅. 𝐴̅
= 𝐷̅. 𝐵 + 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐶̅. 𝐴̅
𝑌 = 𝐵̅ + 𝐶 + 𝐴
𝑌 = 𝐷.̅ 𝐴̅ + 𝐷 + 𝐶. 𝐵̅. 𝐴 + 𝐷̅. 𝐶̅. 𝐵 + 𝐵. 𝐴̅
𝑌 = 𝐶̅ + 𝐵𝐴 + 𝐵̅. 𝐴̅
 Segmen e
f(A,B,C,D) = ∑m(0,2,4,8)
̅ ̅ ̅ ̅
̅ ̅ 1 1 X 1
̅ 0 0 X 0
0 0 X X
̅ 1 0 X X
 Segmen f
f(A,B,C,D) = ∑m(0,4,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 1 X 1
̅ 0 1 X 1
0 0 X X
̅ 0 1 X X
 Segmen g
f(A,B,C,D) = ∑m(2,3,4,5,6,8,9)
̅ ̅ ̅ ̅
̅ ̅ 1 1 X 1
̅ 0 1 X 1
1 0 X X
̅ 1 1 X X
𝑌 = 𝐵̅. 𝐴̅ + 𝐶̅. 𝐴̅
𝑌 = 𝐵̅. 𝐴̅ + 𝐷 + 𝐶. 𝐴̅ + 𝐶. 𝐵̅
𝑌 = 𝐶̅. 𝐵 + 𝐷 + 𝐶. 𝐵 + 𝐶. 𝐴̅
Rangkaian pada aplikasi Proteus:
a. Anoda
Percobaan menggunakan aplikasi proteus
Ketika LT=1; BI=0; RBI=1
Ketika LT=1; BI=1; RBI=0
Ketika LT=1; BI=0; RBI=0
Ketika LT=0; BI=1; RBI=1
b. Katoda
Ketika LT=1; BI=0; RBI=1
Ketika LT=1; BI=1; RBI=0
Ketika LT=1; BI=0; RBI=0
Ketika LT=0; BI=1; RBI=1
Keterangan
Keadaan LOW (0)
Keadaan HIGH (1)
G. Pembahasan
Pada praktikum 5 yang dilaksanakan pada Senin, 28 Maret 2016 membahas mengenai
rangkaian pengubah sandi BCD (Binary Code Digital) ke peraga 7 segmen atau
disebut juga dekoder TTL BCD ke 7 segmen. Piranti decoder yang digunakan ada dua
jenis, yaitu IC TTL 7447 atau 7448.
(a) Diagram IC 7447 (b) Diagram IC 7448
Kedua IC ini memiliki fungsi yang sama yaitu mengubah sandi BCD ke peraga 7
segmen, namun peruntukannya berbeda. IC 7447 adalah decoder BCD Common
Anode. Artinya keluaran dari IC ini, yaitu a,b,c,d,e,f, dan g berupa aktif rendah.
Karena decoder 7447 bekerja dalam aktif Rendah maka tampilan Seven Segmentnya
pun harus jenis Common Anode yang bekerja dalam Aktif Rendah juga. Jadi pada
piranti tampilan ini, tegangan sumbernya adalah positif +Vcc sebesar 5 Volt. Satu
tegangan sumber tunggal +5Volt dapat dipakai secara bersama-sama untuk
menyalakan LED Sven Segment. Sehingga disebut Common Anode atau Anoda
Bersama (positif bersama). Sehingga pada Anoda bersama ini, tiap segmen pada
Seven Segment menyala dalam keadaan LOW (0) dan padam dalam keadaan HIGH
(1). Berikut tabel kebenaran common anoda pada IC 74LS47 dan tabel kebenaran
berdasar praktikum yang telah dilakukan:
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 1 0 0 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0
3 0 0 1 1 0 0 0 0 1 1 0
4 0 1 0 0 1 0 0 1 1 0 0
5 0 1 0 1 0 1 0 0 1 0 0
6 0 1 1 0 1 1 0 0 0 0 0
7 0 1 1 1 0 0 0 1 1 1 1
8 1 0 0 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 1 1 0 0
Hal tersebut dapat diamati juga pada analisis aplikasi proteus pada Anoda bersama.
Berikut salah satu gambar pada analisis proteus :
Terlihat dengan jelas pada analisis menggunakan aplikasi Proteus bahwa masing –
masing segmen akan menyala pada keadaan LOW yang ditandai dengan titik
berwarna biru.
Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran
datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori
yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan LOW,
sehingga common anoda disebut dengan Aktif Rendah.
Demikian pula sebaliknya, jika menggunakan piranti decoder IC 7448 yang
merupakan decoder BCD Common Cathode yang artinya keluaran dari IC ini, yaitu:
a,b,c,d,e,f, dan g berupa Aktif Tinggi. Karena decoder 7448 bekerja dalam Aktif
Tinggi maka tampilan Seven Segmentnya pun harus jenis Common Cathode yang
bekerja dalam keadaan Aktif Tinggi juga. Sehingga pada piranti tampilan ini,
tegangan sumbernya adalah Ground. Satu tegangan sumber tunggal 0 Volt dapat
dipakai secara bersama-sama untuk menyalakan LED Seven Segment. Sehingga
disebut Common Cathode atau katoda bersama. Sehingga pada katoda bersama, tiap
segmen dari seven segment akan menyala dalam keadaan HIGH (1) dan padam dalam
keadaan LOW (0). Berikut tabel kebenaran common katoda pada datasheet IC
74LS48 dan tabel kebenaran berdasarkan praktikum:
Angka
Desimal
Masukan Keluaran
D C B A a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 0 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 0 0 1 1
Berikut juga salah satu gambar analisis pada aplikasi proteus:
Terlihat pada gambar diatas bahwa masing – maisng segmen akan menyala pada
keadaan HIGH (1) yang ditandai dengan titik berwarna merah.
Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran
datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori
yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan HIGH,
sehingga common anoda disebut dengan Aktif Tinggi.
Keluaran IC 7447 merupakan inverter dari keluaran 7448. Sehingga aljabar boole
untuk masing – masing IC adalah sebagai berikut seperti yang telah dipaparkan pada
analisa data:
a. Anoda
 Segmen a = ̅. + . ̅ + . + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen b = ̅ + + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen c = ̅ + +̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen d = .̅ ̅ + + . ̅. + ̅. ̅. + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen e = ̅. ̅ + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen f = ̅. ̅ + + . ̅ + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
 Segmen g = ̅. + + . + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
b. Katoda
 Segmen a = ̅. + . ̅ + . + ̅. ̅
 Segmen b = ̅ + + ̅. ̅
 Segmen c = ̅ + +
 Segmen d = .̅ ̅ + + . ̅. + ̅. ̅. + . ̅
 Segmen e = ̅. ̅ + ̅. ̅
 Segmen f = ̅. ̅ + + . ̅ + . ̅
 Segmen g = ̅. + + . + . ̅
(a) Rangkaian IC 7447 (b) Rangkaian IC 7448
Pada IC 7447 dan 7448 terdapat kaki RBI, LT, dan BI/RBO, berikut fungsi dari
masing – masing kaki tersebut:
a. Pada IC 7447
 LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟
maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment
akan menunjukkan angka delapan (8).
 BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan
keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan
berlogika “1” dan seven segment akan mati.
 RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika
semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi
logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment
akan mati.
b. Pada IC 7448
 LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟
maka semua keluaran dari IC ini akan berlogika 1. Sehingga seven segment
akan menunjukkan angka delapan (8).
 BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan
keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan
berlogika “0” dan seven segment akan mati.
 RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika
semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi
logika “0” maka semua keluaran IC akan berlogika “0” dan seven segment
akan mati.
Lamp test (LT) akan menyalakan setiap segmen untuk melihat apakah segmen-
segmen tersebut beroperasi. Selanjutnya Ripple Blanking Input RBI akan mematikan
semua segmen bila rangkaian diaktifkan.
Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah
sebagai pembatas arus maksimum yang mengalir pada LED penampil 7 segmen dan
arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus
maksimum yang diperbolehkan maksimum 20 mA.
H. Kesimpulan
1. Hasil Praktikum yang diperoleh sesuai dengan teori.
2. Cara kerja rangkaian pengubah sandi BCD ke peraga 7 segmen :
a. Anoda Bersama : bekerja pada keadaan Aktif Rendah, tiap segmen pada Seven
Segment akan menyala dalam keadaan LOW (0) dan padam pada keadaan
HIGH (1)
b. Katoda Bersama : bekerja pada keadaan Aktif Tinggi, tiap segmen pada Seven
Segment akan menyala dalam keadaan HIGH (1) dan padam pada keadaan
LOW (0)
Daftar Pustaka
Datasheet IC 7447 dan IC 7448 (http://www.alldatasheet.com/)
http://elektronika-dasar.web.id/dekoder-ttl-bcd-ke-7-segment/
diakses pada Jum‟at, 8 April 2016 10:00 WIB
https://nursamsa32.wordpress.com/tag/ic-7447/
diakses pada Minggu, 10 April 2016 22:20 WIB
Sumarna. 2015. Percobaan 4:Pengubah Sandi Bcd Ke Peraga 7 Segmen. Ebook
Triadi, Achmad & Nurmalia Nasution. 2013. Decoder dan Encoder. Universitas Lampung.
ebook
Widjanarka, Wijaya. 2006. Teknik Digital. Jakarta :Erlangga

More Related Content

What's hot

4 rangkaian ac paralel
4 rangkaian ac paralel4 rangkaian ac paralel
4 rangkaian ac paralelSimon Patabang
 
4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronikaSimon Patabang
 
makalah penguat gandengan DC
makalah penguat gandengan DCmakalah penguat gandengan DC
makalah penguat gandengan DCSri Rahayu
 
7. instrumen volt meter dan ammeter
7. instrumen volt meter dan ammeter7. instrumen volt meter dan ammeter
7. instrumen volt meter dan ammeterSimon Patabang
 
Resonansi listrik (rlc)
Resonansi listrik (rlc)Resonansi listrik (rlc)
Resonansi listrik (rlc)noussevarenna
 
Medan elektromagnetik 2
Medan elektromagnetik 2Medan elektromagnetik 2
Medan elektromagnetik 2sinta novita
 
Fluks listrik, hukum gauss, dan teorema divergensi.
Fluks listrik, hukum gauss, dan teorema divergensi.Fluks listrik, hukum gauss, dan teorema divergensi.
Fluks listrik, hukum gauss, dan teorema divergensi.Satria Wijaya
 
Laporan praktikum Gerbang Logika
Laporan praktikum Gerbang LogikaLaporan praktikum Gerbang Logika
Laporan praktikum Gerbang LogikaA A
 
Laporan praktikum Penyearah Gelombang
Laporan praktikum Penyearah GelombangLaporan praktikum Penyearah Gelombang
Laporan praktikum Penyearah Gelombangayu purwati
 
Analisa ac pada transistor
Analisa ac pada transistorAnalisa ac pada transistor
Analisa ac pada transistorAhmad_Bagus
 
Comparator laporan
Comparator laporanComparator laporan
Comparator laporanBrian Raafiu
 
Gerbang logika ayu purwati (14302241028)
Gerbang logika   ayu purwati (14302241028)Gerbang logika   ayu purwati (14302241028)
Gerbang logika ayu purwati (14302241028)ayu purwati
 
Rangkaian Integral & Diferensial RC
Rangkaian Integral & Diferensial RCRangkaian Integral & Diferensial RC
Rangkaian Integral & Diferensial RCWahyu Pratama
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counterpersonal
 

What's hot (20)

4 rangkaian ac paralel
4 rangkaian ac paralel4 rangkaian ac paralel
4 rangkaian ac paralel
 
4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika
 
makalah penguat gandengan DC
makalah penguat gandengan DCmakalah penguat gandengan DC
makalah penguat gandengan DC
 
7. instrumen volt meter dan ammeter
7. instrumen volt meter dan ammeter7. instrumen volt meter dan ammeter
7. instrumen volt meter dan ammeter
 
JURNAL OSILOSKOP
JURNAL OSILOSKOPJURNAL OSILOSKOP
JURNAL OSILOSKOP
 
Resonansi listrik (rlc)
Resonansi listrik (rlc)Resonansi listrik (rlc)
Resonansi listrik (rlc)
 
Medan elektromagnetik 2
Medan elektromagnetik 2Medan elektromagnetik 2
Medan elektromagnetik 2
 
Fluks listrik, hukum gauss, dan teorema divergensi.
Fluks listrik, hukum gauss, dan teorema divergensi.Fluks listrik, hukum gauss, dan teorema divergensi.
Fluks listrik, hukum gauss, dan teorema divergensi.
 
Laporan praktikum Gerbang Logika
Laporan praktikum Gerbang LogikaLaporan praktikum Gerbang Logika
Laporan praktikum Gerbang Logika
 
Laporan praktikum Penyearah Gelombang
Laporan praktikum Penyearah GelombangLaporan praktikum Penyearah Gelombang
Laporan praktikum Penyearah Gelombang
 
Laporan praktikum karakteristik dioda
Laporan praktikum karakteristik diodaLaporan praktikum karakteristik dioda
Laporan praktikum karakteristik dioda
 
Medan vektor
Medan vektorMedan vektor
Medan vektor
 
Analisa ac pada transistor
Analisa ac pada transistorAnalisa ac pada transistor
Analisa ac pada transistor
 
Rangkaian penyearah
Rangkaian penyearahRangkaian penyearah
Rangkaian penyearah
 
Transistor
TransistorTransistor
Transistor
 
Comparator laporan
Comparator laporanComparator laporan
Comparator laporan
 
Gerbang logika ayu purwati (14302241028)
Gerbang logika   ayu purwati (14302241028)Gerbang logika   ayu purwati (14302241028)
Gerbang logika ayu purwati (14302241028)
 
R3 franck hertz
R3 franck hertzR3 franck hertz
R3 franck hertz
 
Rangkaian Integral & Diferensial RC
Rangkaian Integral & Diferensial RCRangkaian Integral & Diferensial RC
Rangkaian Integral & Diferensial RC
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counter
 

Viewers also liked

Tugas sistem digital 7 segmen
Tugas sistem digital 7 segmenTugas sistem digital 7 segmen
Tugas sistem digital 7 segmenHadri Fanzs
 
постановление 529 от 14.03.2016
постановление 529 от 14.03.2016постановление 529 от 14.03.2016
постановление 529 от 14.03.2016virtualtaganrog
 
completed-transcript-9007731
completed-transcript-9007731completed-transcript-9007731
completed-transcript-9007731Sabrina Stearns
 
Design & Analysis of Algorithms Lecture Notes
Design & Analysis of Algorithms Lecture NotesDesign & Analysis of Algorithms Lecture Notes
Design & Analysis of Algorithms Lecture NotesFellowBuddy.com
 
Teknik editing, animasi dan multimedia part 6
Teknik editing, animasi dan multimedia part 6 Teknik editing, animasi dan multimedia part 6
Teknik editing, animasi dan multimedia part 6 Firdaus Azwar Ersyad
 
Ayu purwati laporan 4
Ayu purwati laporan 4Ayu purwati laporan 4
Ayu purwati laporan 4ayu purwati
 
Laporan rangkaian digital clock
Laporan rangkaian digital clockLaporan rangkaian digital clock
Laporan rangkaian digital clockPT.goLom na
 
Syamiah alfi reguler b
Syamiah alfi reguler bSyamiah alfi reguler b
Syamiah alfi reguler bSyamiah Alfi
 
TRANSFORMER
TRANSFORMERTRANSFORMER
TRANSFORMERTee Wool
 
Tugas teknik tenaga listrik transformator hendi kurniawan
Tugas teknik tenaga listrik transformator hendi kurniawanTugas teknik tenaga listrik transformator hendi kurniawan
Tugas teknik tenaga listrik transformator hendi kurniawanKurniawanHendi
 
Transformator
TransformatorTransformator
Transformatorlukman_sn
 
MAKALAH SISTEM KONVERTER KODE DAN ADDER
MAKALAH SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER
MAKALAH SISTEM KONVERTER KODE DAN ADDER Dionisius Kristanto
 
Sistem bekalan elektrik
Sistem bekalan elektrikSistem bekalan elektrik
Sistem bekalan elektrikAhmad Amir
 
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN III
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN IIIPOWERPOINT MENGENAI HUKUM NEWTON I, II, DAN III
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN IIIikasaputri
 
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisika
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisikaIIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisika
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisikabaskimia
 

Viewers also liked (20)

Tugas sistem digital 7 segmen
Tugas sistem digital 7 segmenTugas sistem digital 7 segmen
Tugas sistem digital 7 segmen
 
постановление 529 от 14.03.2016
постановление 529 от 14.03.2016постановление 529 от 14.03.2016
постановление 529 от 14.03.2016
 
completed-transcript-9007731
completed-transcript-9007731completed-transcript-9007731
completed-transcript-9007731
 
m1B MARWA
m1B MARWAm1B MARWA
m1B MARWA
 
m1B
m1B m1B
m1B
 
Design & Analysis of Algorithms Lecture Notes
Design & Analysis of Algorithms Lecture NotesDesign & Analysis of Algorithms Lecture Notes
Design & Analysis of Algorithms Lecture Notes
 
Teknik editing, animasi dan multimedia part 6
Teknik editing, animasi dan multimedia part 6 Teknik editing, animasi dan multimedia part 6
Teknik editing, animasi dan multimedia part 6
 
Ayu purwati laporan 4
Ayu purwati laporan 4Ayu purwati laporan 4
Ayu purwati laporan 4
 
Laporan rangkaian digital clock
Laporan rangkaian digital clockLaporan rangkaian digital clock
Laporan rangkaian digital clock
 
Syamiah alfi reguler b
Syamiah alfi reguler bSyamiah alfi reguler b
Syamiah alfi reguler b
 
TRANSFORMER
TRANSFORMERTRANSFORMER
TRANSFORMER
 
Tugas teknik tenaga listrik transformator hendi kurniawan
Tugas teknik tenaga listrik transformator hendi kurniawanTugas teknik tenaga listrik transformator hendi kurniawan
Tugas teknik tenaga listrik transformator hendi kurniawan
 
Transformator
TransformatorTransformator
Transformator
 
MAKALAH SISTEM KONVERTER KODE DAN ADDER
MAKALAH SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER
MAKALAH SISTEM KONVERTER KODE DAN ADDER
 
Simbol simbol listrik1
Simbol   simbol listrik1Simbol   simbol listrik1
Simbol simbol listrik1
 
Transformer
TransformerTransformer
Transformer
 
Sistem bekalan elektrik
Sistem bekalan elektrikSistem bekalan elektrik
Sistem bekalan elektrik
 
PPT Fisika "Hukum Newton"
PPT Fisika "Hukum Newton"PPT Fisika "Hukum Newton"
PPT Fisika "Hukum Newton"
 
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN III
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN IIIPOWERPOINT MENGENAI HUKUM NEWTON I, II, DAN III
POWERPOINT MENGENAI HUKUM NEWTON I, II, DAN III
 
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisika
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisikaIIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisika
IIIa. hukum hukum newton tentang gerak (presentasi fisika)_basrib.fisika
 

Similar to Pengubah BCD ke 7 segmen

6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptxajioajs
 
Kuliah 4&5 sistem digital
Kuliah 4&5 sistem digitalKuliah 4&5 sistem digital
Kuliah 4&5 sistem digitalsatriahelmy
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)personal
 
Lapres invers kinematika robot planar 2 dof
Lapres invers kinematika robot planar 2 dofLapres invers kinematika robot planar 2 dof
Lapres invers kinematika robot planar 2 dofYuda Bima Mimpi
 
Materi praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segmentMateri praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segmentNyoman Dharmawan
 
8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdfkhansaputriantari87
 
Algoritma copy
Algoritma   copyAlgoritma   copy
Algoritma copyEddy_TKJ
 
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptx
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptxINFORMATIKA SISTEM KOMPUTER-KELAS 8.pptx
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptxnurainiazizah101
 
6. variabel, tipe data, dan operator pada vb
6. variabel, tipe data, dan operator pada vb6. variabel, tipe data, dan operator pada vb
6. variabel, tipe data, dan operator pada vbAris Saputro
 
Draft decoder kelompok 1
Draft decoder kelompok 1Draft decoder kelompok 1
Draft decoder kelompok 1Asistenpelatih
 
7 segment to bcd ajeng tenriany d41102816-1(1)
7 segment to bcd ajeng tenriany d41102816-1(1)7 segment to bcd ajeng tenriany d41102816-1(1)
7 segment to bcd ajeng tenriany d41102816-1(1)Akhmad Asari
 
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...RenataNoviene
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)skynet348
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Eddy_TKJ
 
Un smk teori kejuruan elektronika industri 2015 2016
Un smk teori kejuruan elektronika industri 2015 2016Un smk teori kejuruan elektronika industri 2015 2016
Un smk teori kejuruan elektronika industri 2015 2016Hadi Nursyam
 

Similar to Pengubah BCD ke 7 segmen (20)

6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx
 
Kuliah 4&5 sistem digital
Kuliah 4&5 sistem digitalKuliah 4&5 sistem digital
Kuliah 4&5 sistem digital
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)
 
Decoder kelompok 1
Decoder kelompok 1Decoder kelompok 1
Decoder kelompok 1
 
Lapres invers kinematika robot planar 2 dof
Lapres invers kinematika robot planar 2 dofLapres invers kinematika robot planar 2 dof
Lapres invers kinematika robot planar 2 dof
 
Materi praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segmentMateri praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segment
 
8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf
 
Power poin modul 6
Power poin modul 6Power poin modul 6
Power poin modul 6
 
Algoritma
AlgoritmaAlgoritma
Algoritma
 
Algoritma copy
Algoritma   copyAlgoritma   copy
Algoritma copy
 
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptx
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptxINFORMATIKA SISTEM KOMPUTER-KELAS 8.pptx
INFORMATIKA SISTEM KOMPUTER-KELAS 8.pptx
 
6. variabel, tipe data, dan operator pada vb
6. variabel, tipe data, dan operator pada vb6. variabel, tipe data, dan operator pada vb
6. variabel, tipe data, dan operator pada vb
 
Draft decoder kelompok 1
Draft decoder kelompok 1Draft decoder kelompok 1
Draft decoder kelompok 1
 
7 segment to bcd ajeng tenriany d41102816-1(1)
7 segment to bcd ajeng tenriany d41102816-1(1)7 segment to bcd ajeng tenriany d41102816-1(1)
7 segment to bcd ajeng tenriany d41102816-1(1)
 
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...
RANCANG BANGUN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNKAN MIKROPROSESOR RA...
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)
 
Ujian praktek
Ujian praktekUjian praktek
Ujian praktek
 
Tugas2 20914009
Tugas2 20914009Tugas2 20914009
Tugas2 20914009
 
Un smk teori kejuruan elektronika industri 2015 2016
Un smk teori kejuruan elektronika industri 2015 2016Un smk teori kejuruan elektronika industri 2015 2016
Un smk teori kejuruan elektronika industri 2015 2016
 

Recently uploaded

PPT Olah Nilai Kurikulum merdeka belajar.pptx
PPT Olah Nilai Kurikulum merdeka belajar.pptxPPT Olah Nilai Kurikulum merdeka belajar.pptx
PPT Olah Nilai Kurikulum merdeka belajar.pptxnursariheldaseptiana
 
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkms
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkmsSOP MEDIA KOMUNIKASI DAN KOORDINASI pkms
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkmsedyardy
 
kesalahan tipe 1 dan 2 pada statistik.pptx
kesalahan tipe 1 dan 2 pada statistik.pptxkesalahan tipe 1 dan 2 pada statistik.pptx
kesalahan tipe 1 dan 2 pada statistik.pptxAhmadSyajili
 
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupan
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupanVULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupan
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupanBungaCitraNazwaAtin
 
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptx
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptxMATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptx
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptxrikosyahputra0173
 
pertemuan-3-distribusi pada-frekuensi.ppt
pertemuan-3-distribusi pada-frekuensi.pptpertemuan-3-distribusi pada-frekuensi.ppt
pertemuan-3-distribusi pada-frekuensi.pptAhmadSyajili
 
manajemen analisis data export data epidata 3.1
manajemen analisis data export data epidata 3.1manajemen analisis data export data epidata 3.1
manajemen analisis data export data epidata 3.1YudiPradipta
 
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS Aceh
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS AcehSKP GURU satuan kinerja pegawai tahun 2023 untuk PNS Aceh
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS AcehBISMIAULIA
 
415418921-statistika- mean media modus data tunggal dan data kelompok
415418921-statistika- mean media modus data tunggal dan data kelompok415418921-statistika- mean media modus data tunggal dan data kelompok
415418921-statistika- mean media modus data tunggal dan data kelompokelmalinda2
 
Manajemen Lalu Lintas Baru Di Jalan Selamet Riyadi
Manajemen Lalu Lintas Baru Di Jalan Selamet RiyadiManajemen Lalu Lintas Baru Di Jalan Selamet Riyadi
Manajemen Lalu Lintas Baru Di Jalan Selamet RiyadiCristianoRonaldo185977
 
MARIA NOVILIA BOISALA FASILITATOR PMM.pptx
MARIA NOVILIA BOISALA FASILITATOR PMM.pptxMARIA NOVILIA BOISALA FASILITATOR PMM.pptx
MARIA NOVILIA BOISALA FASILITATOR PMM.pptxmariaboisala21
 
Metode penelitian Deskriptif atau Survei
Metode penelitian Deskriptif atau SurveiMetode penelitian Deskriptif atau Survei
Metode penelitian Deskriptif atau Surveikustiyantidew94
 

Recently uploaded (12)

PPT Olah Nilai Kurikulum merdeka belajar.pptx
PPT Olah Nilai Kurikulum merdeka belajar.pptxPPT Olah Nilai Kurikulum merdeka belajar.pptx
PPT Olah Nilai Kurikulum merdeka belajar.pptx
 
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkms
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkmsSOP MEDIA KOMUNIKASI DAN KOORDINASI pkms
SOP MEDIA KOMUNIKASI DAN KOORDINASI pkms
 
kesalahan tipe 1 dan 2 pada statistik.pptx
kesalahan tipe 1 dan 2 pada statistik.pptxkesalahan tipe 1 dan 2 pada statistik.pptx
kesalahan tipe 1 dan 2 pada statistik.pptx
 
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupan
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupanVULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupan
VULKANISME.pdf vulkanisme dan pengaruh nya terhadap kehidupan
 
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptx
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptxMATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptx
MATERI SESI 2 KONSEP ETIKA KOMUNIKASI.pptx
 
pertemuan-3-distribusi pada-frekuensi.ppt
pertemuan-3-distribusi pada-frekuensi.pptpertemuan-3-distribusi pada-frekuensi.ppt
pertemuan-3-distribusi pada-frekuensi.ppt
 
manajemen analisis data export data epidata 3.1
manajemen analisis data export data epidata 3.1manajemen analisis data export data epidata 3.1
manajemen analisis data export data epidata 3.1
 
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS Aceh
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS AcehSKP GURU satuan kinerja pegawai tahun 2023 untuk PNS Aceh
SKP GURU satuan kinerja pegawai tahun 2023 untuk PNS Aceh
 
415418921-statistika- mean media modus data tunggal dan data kelompok
415418921-statistika- mean media modus data tunggal dan data kelompok415418921-statistika- mean media modus data tunggal dan data kelompok
415418921-statistika- mean media modus data tunggal dan data kelompok
 
Manajemen Lalu Lintas Baru Di Jalan Selamet Riyadi
Manajemen Lalu Lintas Baru Di Jalan Selamet RiyadiManajemen Lalu Lintas Baru Di Jalan Selamet Riyadi
Manajemen Lalu Lintas Baru Di Jalan Selamet Riyadi
 
MARIA NOVILIA BOISALA FASILITATOR PMM.pptx
MARIA NOVILIA BOISALA FASILITATOR PMM.pptxMARIA NOVILIA BOISALA FASILITATOR PMM.pptx
MARIA NOVILIA BOISALA FASILITATOR PMM.pptx
 
Metode penelitian Deskriptif atau Survei
Metode penelitian Deskriptif atau SurveiMetode penelitian Deskriptif atau Survei
Metode penelitian Deskriptif atau Survei
 

Pengubah BCD ke 7 segmen

  • 1. LAPORAN PRAKTIKUM SISTEM DIGITAL RANGKAIAN PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Nama : Ayu Purwati NIM : 14302241028 Kelas : Pendidikan Fisika I LABORATORIUM ELEKTRONIKA DAN INSTRUMENTASI JURUSAN PENDIDIKAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM 2016
  • 2. Percobaan 5 RANGKAIAN PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN A. Tujuan Praktikum 1. Membandingkan hasil praktikum dengan teori 2. Mengetahui cara kerja rangkaian pengubah sandi BCD ke peraga 7-segmen B. Alat – alat 1. Catu daya (5V) 2. IC dengan seri 7447 dan 7448 3. Peraga 7 segmen (Anoda bersama dan Katoda bersama) 4. Kabel penghubung 5. Resistor 6. Multimeter Analog C. Langkah Percobaan 1. Mengecek jenis peraga 7-segmen apakah anoda bersama atau katoda bersama dengan menggunakan multimeter 2. Merangkai rangkaian untuk masing –masing fungsi IC seperti gambar berikut : Keterangan : Input A : Kabel Hitam Peraga 7-segmen Resistor 270Ω
  • 3. Input B : Kabel Biru Input C : Kabel Putih Input D : Kabel Abu-abu Dengan catatan : IC 7447 dihubungkan dengan peraga 7-segmen anoda bersama dan resistor dihubungkan pada kaki tengah bagian atas peraga 7-segmen dan Vcc IC 7448 dihubungkan dengan peraga 7-segmen katoda bersama dan resistor dihubungkan pada kaki tengah bagian bawah peraga 7-segmen dan ground. 3. Mengatur Vcc sebesar 5 volt sebelum masuk pada rangkaian, 4. Memberi nilai pada input masing – masing untuk biner 9 nilai angka desimal 5. Mengamati hasil keluaran dengan memperhatikan peraga 7-segmen yang menyala, 6. Mencatat hasil yang diperoleh. D. Landasan Teori Dalam suatu mesin digital, instruksi dan informasi (data) disajikan dalam bentuk biner, karena mesin digital hanya dapat menanggapi dan mengolah data dalam bentuk biner. Kita sering melihat atau bahkan menggunakan mesin-mesin digital seperti multimeter digital, termometer digital, jam digital, komputer, kalkulator, dan lain-lain. Tampilan yang langsung dapat kita lihat dari alat tersebut berupa angka desimal, padahal proses yang terjadi di dalamnya berbentuk biner. Instruksi ataupun informasi dalam bentuk biner tidak kita sukai, selain karena lebih rumit juga kurang praktis dan di luar kebiasaan. Kita telah terbiasa dengan huruf dari A sampai Z maupun angka-angka 0, 1, 2, ... 9. Sehingga apabila disajikan angka atau kata dalam bentuk biner pada umumnya tidak segera diketahui maknanya . Misalkan disajikan sederet bit 0001011, kita tidak segera tahu deretan bit itu menyatakan angka atau huruf. Jika angka, sederet bit tersebut dapat menunjukkan angka 17 atau bahkan angka 23 sebagaimana biasa kita kenal. Agar 00010111 dapat tampil sebagai 17 atau 23 diperlukan teknik maupun rangkaian tertentu. Hal ini juga berlaku untuk langkah sebaliknya, agar angka 17 atau 23 dapat dikenali oleh suatu mesin digital sebagai 00010111 diperlukan teknik dan rangkaian tertentu pula. (Sumarna, 2015) Display 7 segment merupakan komponen yang berfungsi sebagai penampil karakter angka dan karakter huruf. Display 7 segment sering juga disebut sebgai penampil 7 ruas. Pada display 7 segment juga dilengkapi karakter titik (dot) yang
  • 4. sering dibutuhkan untuk karakter koma atau titik pada saat menampilkan suatu bilangan. Display 7 segment terdiri dari 7 penampil karakter yang disusun dalam sebuah kemasan sehingga dapat menampilkan karakter angka dan karakter huruf. Terdapat 7 buah penampil dasar dari LED (Light Emiting Diode) yang dinamakan karakter A-F dan karakter dot. Bentuk susunan karakter penampil karakter A-F pada display 7 segmen dapat dilihat pada gambar berikut. (http://elektronika- dasar.web.id/display-7-segment/) Pada dasarnya penampil 7 segment merupakan rangkaian 7 buah dioda LED (Light Emiting Diode). Terdapat 2 (dua) jenis rangkaian dasar dari display 7 segment yang dikenal sebagai display 7 segment common anoda (CA) dan common cathoda (CC). Pada display common anoda untuk mengaktifkan karakter display 7 segment diperlukan logika low (0) pada jalur A-F dan DP dan sebaliknya untuk display 7 segment common cathoda (CA). (http://elektronika-dasar.web.id/display-7-segment/) Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi untuk mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan untuk penampil/display 7 segment yang bekerja pada tegangan TTL (+5 volt DC). Dekoder BCD ke 7 segmen yang digunakan adalah jenis TTL. Dekoder BCD ke 7 segmen jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan keluarga IC TTL 7448. Kedua IC TTL tersebut memiliki fungsi yang sama namun peruntukannya berbeda, IC 7447 digunakan untuk driver 7 segment common anoda sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment karena selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7 segment. Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 Dan 7448 Jalur input data BCD, pin input ini terdiri dari 4 line input yang mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan D. Jalur ouput 7 segmen, pin output ini berfungsi
  • 5. untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g. Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led pada penampil 7 segmen, jalur LT akan aktif pad saat diberikan logika LOW pad jalut LT tersebut. Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan sinyal input (disable input), jalur RBI akan aktif bila diberikan logika LOW. Jalur RBO (Riple blanking Output) yang berfungsi untuk menahan data output ke penampil 7 segmen (disable output), jalur RBO ini akan aktif pada saat diberikan logika LOW. Dalam aplikasi decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat masuk dan penampil 7 segmen dapat menerima data tampilan sesuai data BCD yang diberikan pada jalur input. Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah sebagai pembatas arus maksimum yang mengalir pada LED penampil 7 segmen dan arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus maksimum yang diperbolehkan maksimum 20 mA. (Triadi dan Nasution, 2013)
  • 6. E. Data Hasil Praktikum a. Anoda (IC 7447) Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 1 2 0 0 1 0 0 0 1 0 0 1 0 3 0 0 1 1 0 0 0 0 1 1 0 4 0 1 0 0 1 0 0 1 1 0 0 5 0 1 0 1 0 1 0 0 1 0 0 6 0 1 1 0 1 1 0 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 8 1 0 0 0 0 0 0 0 0 0 0 9 1 0 0 1 0 0 0 1 1 0 0 LT BI RBI Keluaran Gambar A b c d e f g 1 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0
  • 7. 1 0 0 1 1 1 1 1 1 1 0 1 1 0 0 0 0 0 0 0 Gambar Hasil Praktikum:
  • 8. b. Katoda (IC 7448) Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 0 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 0 0 1 1
  • 9. LT BI RBI Keluaran Gambar a b c d e f g 1 0 1 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1
  • 11. F. Analisa Data a. Anoda Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 1 2 0 0 1 0 0 0 1 0 0 1 0 3 0 0 1 1 0 0 0 0 1 1 0 4 0 1 0 0 1 0 0 1 1 0 0 5 0 1 0 1 0 1 0 0 1 0 0 6 0 1 1 0 1 1 0 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 8 1 0 0 0 0 0 0 0 0 0 0 9 1 0 0 1 0 0 0 1 1 0 0 10 1 0 1 0 X X X X X X X 11 1 0 1 1 X X X X X X X 12 1 1 0 0 X X X X X X X 13 1 1 0 1 X X X X X X X 14 1 1 1 0 X X X X X X X 15 1 1 1 1 X X X X X X X 0 = nyala 1 = padam X = don‟t care  Segmen a f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 1 X 0 ̅ 1 0 X 0 0 0 X X ̅ 0 0 X X  Segmen b f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 0 X 0 ̅ 0 1 X 0 0 0 X X ̅ 0 1 X X 𝑌 = 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐷̅. 𝐵 + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ = 𝐷̅. 𝐵 + 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ 𝑌 = 𝐶̅ + 𝐵𝐴 + 𝐵̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
  • 12.  Segmen c f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 0 X 0 ̅ 0 0 X 0 0 0 X X ̅ 1 0 X X  Segmen d f(A,B,C,D) = ∑m(0,2,3,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 1 X 0 ̅ 1 0 X 0 0 1 X X ̅ 0 0 X X  Segmen e f(A,B,C,D) = ∑m(0,2,4,8) ̅ ̅ ̅ ̅ ̅ ̅ 0 0 X 0 ̅ 1 1 X 1 1 1 X X ̅ 0 1 X X  Segmen f f(A,B,C,D) = ∑m(0,4,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 0 X 0 ̅ 1 0 X 0 1 1 X X ̅ 1 0 X X 𝑌 = 𝐵̅ + 𝐶 + 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅ 𝑌 = 𝐷.̅ 𝐴̅ + 𝐷 + 𝐶. 𝐵̅. 𝐴 + 𝐷̅. 𝐶̅. 𝐵 + 𝐵. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ 𝑌 = 𝐵̅. 𝐴̅ + 𝐶̅. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ 𝑌 = 𝐵̅. 𝐴̅ + 𝐷 + 𝐶. 𝐴̅ + 𝐶. 𝐵̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
  • 13.  Segmen g f(A,B,C,D) = ∑m(2,3,4,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 0 0 X 0 ̅ 1 0 X 0 0 1 X X ̅ 0 0 X X b. Katoda Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 0 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 0 0 1 1 10 1 0 1 0 X X X X X X X 11 1 0 1 1 X X X X X X X 12 1 1 0 0 X X X X X X X 13 1 1 0 1 X X X X X X X 14 1 1 1 0 X X X X X X X 15 1 1 1 1 X X X X X X X 1 = nyala 0 = padam X = keadaan don‟t care 𝑌 = 𝐶̅. 𝐵 + 𝐷 + 𝐶. 𝐵 + 𝐶. 𝐴̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
  • 14.  Segmen a f(A,B,C,D) = ∑m(0,2,3,5,6,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 0 X 1 ̅ 0 1 X 1 1 1 X X ̅ 1 1 X X  Segmen b f(A, B, C, D) = ∑m(0,1,2,3,4,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 1 X 1 ̅ 1 0 X 1 1 1 X X ̅ 1 0 X X  Segmen c f(A,B,C,D) = ∑m(0,1,3,4,5,6,7,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 1 X 1 ̅ 1 1 X 1 1 1 X X ̅ 0 1 X X  Segmen d f(A,B,C,D) = ∑m(0,2,3,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 0 X 1 ̅ 0 1 X 1 1 0 X X ̅ 1 1 X X 𝑌 = 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐷̅. 𝐵 + 𝐶̅. 𝐴̅ = 𝐷̅. 𝐵 + 𝐷. 𝐵̅ + 𝐶. 𝐴 + 𝐶̅. 𝐴̅ 𝑌 = 𝐵̅ + 𝐶 + 𝐴 𝑌 = 𝐷.̅ 𝐴̅ + 𝐷 + 𝐶. 𝐵̅. 𝐴 + 𝐷̅. 𝐶̅. 𝐵 + 𝐵. 𝐴̅ 𝑌 = 𝐶̅ + 𝐵𝐴 + 𝐵̅. 𝐴̅
  • 15.  Segmen e f(A,B,C,D) = ∑m(0,2,4,8) ̅ ̅ ̅ ̅ ̅ ̅ 1 1 X 1 ̅ 0 0 X 0 0 0 X X ̅ 1 0 X X  Segmen f f(A,B,C,D) = ∑m(0,4,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 1 X 1 ̅ 0 1 X 1 0 0 X X ̅ 0 1 X X  Segmen g f(A,B,C,D) = ∑m(2,3,4,5,6,8,9) ̅ ̅ ̅ ̅ ̅ ̅ 1 1 X 1 ̅ 0 1 X 1 1 0 X X ̅ 1 1 X X 𝑌 = 𝐵̅. 𝐴̅ + 𝐶̅. 𝐴̅ 𝑌 = 𝐵̅. 𝐴̅ + 𝐷 + 𝐶. 𝐴̅ + 𝐶. 𝐵̅ 𝑌 = 𝐶̅. 𝐵 + 𝐷 + 𝐶. 𝐵 + 𝐶. 𝐴̅
  • 16. Rangkaian pada aplikasi Proteus: a. Anoda Percobaan menggunakan aplikasi proteus
  • 17. Ketika LT=1; BI=0; RBI=1 Ketika LT=1; BI=1; RBI=0 Ketika LT=1; BI=0; RBI=0
  • 18. Ketika LT=0; BI=1; RBI=1 b. Katoda
  • 19. Ketika LT=1; BI=0; RBI=1 Ketika LT=1; BI=1; RBI=0 Ketika LT=1; BI=0; RBI=0
  • 20. Ketika LT=0; BI=1; RBI=1 Keterangan Keadaan LOW (0) Keadaan HIGH (1) G. Pembahasan Pada praktikum 5 yang dilaksanakan pada Senin, 28 Maret 2016 membahas mengenai rangkaian pengubah sandi BCD (Binary Code Digital) ke peraga 7 segmen atau disebut juga dekoder TTL BCD ke 7 segmen. Piranti decoder yang digunakan ada dua jenis, yaitu IC TTL 7447 atau 7448. (a) Diagram IC 7447 (b) Diagram IC 7448 Kedua IC ini memiliki fungsi yang sama yaitu mengubah sandi BCD ke peraga 7 segmen, namun peruntukannya berbeda. IC 7447 adalah decoder BCD Common Anode. Artinya keluaran dari IC ini, yaitu a,b,c,d,e,f, dan g berupa aktif rendah. Karena decoder 7447 bekerja dalam aktif Rendah maka tampilan Seven Segmentnya pun harus jenis Common Anode yang bekerja dalam Aktif Rendah juga. Jadi pada piranti tampilan ini, tegangan sumbernya adalah positif +Vcc sebesar 5 Volt. Satu tegangan sumber tunggal +5Volt dapat dipakai secara bersama-sama untuk menyalakan LED Sven Segment. Sehingga disebut Common Anode atau Anoda Bersama (positif bersama). Sehingga pada Anoda bersama ini, tiap segmen pada Seven Segment menyala dalam keadaan LOW (0) dan padam dalam keadaan HIGH
  • 21. (1). Berikut tabel kebenaran common anoda pada IC 74LS47 dan tabel kebenaran berdasar praktikum yang telah dilakukan: Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 1 1 2 0 0 1 0 0 0 1 0 0 1 0 3 0 0 1 1 0 0 0 0 1 1 0 4 0 1 0 0 1 0 0 1 1 0 0 5 0 1 0 1 0 1 0 0 1 0 0 6 0 1 1 0 1 1 0 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 8 1 0 0 0 0 0 0 0 0 0 0 9 1 0 0 1 0 0 0 1 1 0 0 Hal tersebut dapat diamati juga pada analisis aplikasi proteus pada Anoda bersama. Berikut salah satu gambar pada analisis proteus :
  • 22. Terlihat dengan jelas pada analisis menggunakan aplikasi Proteus bahwa masing – masing segmen akan menyala pada keadaan LOW yang ditandai dengan titik berwarna biru. Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan LOW, sehingga common anoda disebut dengan Aktif Rendah. Demikian pula sebaliknya, jika menggunakan piranti decoder IC 7448 yang merupakan decoder BCD Common Cathode yang artinya keluaran dari IC ini, yaitu: a,b,c,d,e,f, dan g berupa Aktif Tinggi. Karena decoder 7448 bekerja dalam Aktif Tinggi maka tampilan Seven Segmentnya pun harus jenis Common Cathode yang bekerja dalam keadaan Aktif Tinggi juga. Sehingga pada piranti tampilan ini, tegangan sumbernya adalah Ground. Satu tegangan sumber tunggal 0 Volt dapat dipakai secara bersama-sama untuk menyalakan LED Seven Segment. Sehingga disebut Common Cathode atau katoda bersama. Sehingga pada katoda bersama, tiap segmen dari seven segment akan menyala dalam keadaan HIGH (1) dan padam dalam keadaan LOW (0). Berikut tabel kebenaran common katoda pada datasheet IC 74LS48 dan tabel kebenaran berdasarkan praktikum:
  • 23. Angka Desimal Masukan Keluaran D C B A a b c d e f g 0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 0 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 0 0 1 1 Berikut juga salah satu gambar analisis pada aplikasi proteus: Terlihat pada gambar diatas bahwa masing – maisng segmen akan menyala pada keadaan HIGH (1) yang ditandai dengan titik berwarna merah. Jika dibandingkan tabel kebenaran berdasarkan praktikum dengan tabel kebenaran datasheet dan analisis proteus maka praktikum yang dilakukan sesuai dengan teori yang ada. Dimana masing – masing segmen akan menyala jika dalam keadaan HIGH, sehingga common anoda disebut dengan Aktif Tinggi. Keluaran IC 7447 merupakan inverter dari keluaran 7448. Sehingga aljabar boole untuk masing – masing IC adalah sebagai berikut seperti yang telah dipaparkan pada analisa data: a. Anoda  Segmen a = ̅. + . ̅ + . + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅  Segmen b = ̅ + + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅  Segmen c = ̅ + +̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅  Segmen d = .̅ ̅ + + . ̅. + ̅. ̅. + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅  Segmen e = ̅. ̅ + ̅. ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
  • 24.  Segmen f = ̅. ̅ + + . ̅ + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅  Segmen g = ̅. + + . + . ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ b. Katoda  Segmen a = ̅. + . ̅ + . + ̅. ̅  Segmen b = ̅ + + ̅. ̅  Segmen c = ̅ + +  Segmen d = .̅ ̅ + + . ̅. + ̅. ̅. + . ̅  Segmen e = ̅. ̅ + ̅. ̅  Segmen f = ̅. ̅ + + . ̅ + . ̅  Segmen g = ̅. + + . + . ̅ (a) Rangkaian IC 7447 (b) Rangkaian IC 7448 Pada IC 7447 dan 7448 terdapat kaki RBI, LT, dan BI/RBO, berikut fungsi dari masing – masing kaki tersebut: a. Pada IC 7447  LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟ maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan menunjukkan angka delapan (8).  BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati.
  • 25.  RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati. b. Pada IC 7448  LT‟ , Lamp Test: berfungsi untuk mengeset display, bila diberi logika „0‟ maka semua keluaran dari IC ini akan berlogika 1. Sehingga seven segment akan menunjukkan angka delapan (8).  BI‟/RBO‟ , Blanking Input/Row Blanking Output: berfungsi untuk mematikan keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan berlogika “0” dan seven segment akan mati.  RBI‟ , Row Blanking Input: berfungsi untuk mematikan keluaran dari IC jika semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi logika “0” maka semua keluaran IC akan berlogika “0” dan seven segment akan mati. Lamp test (LT) akan menyalakan setiap segmen untuk melihat apakah segmen- segmen tersebut beroperasi. Selanjutnya Ripple Blanking Input RBI akan mematikan semua segmen bila rangkaian diaktifkan. Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah sebagai pembatas arus maksimum yang mengalir pada LED penampil 7 segmen dan arus yang mengalir pada IC dekoder BCD ke 7 segmen yang digunakan dimana arus maksimum yang diperbolehkan maksimum 20 mA. H. Kesimpulan 1. Hasil Praktikum yang diperoleh sesuai dengan teori. 2. Cara kerja rangkaian pengubah sandi BCD ke peraga 7 segmen : a. Anoda Bersama : bekerja pada keadaan Aktif Rendah, tiap segmen pada Seven Segment akan menyala dalam keadaan LOW (0) dan padam pada keadaan HIGH (1) b. Katoda Bersama : bekerja pada keadaan Aktif Tinggi, tiap segmen pada Seven Segment akan menyala dalam keadaan HIGH (1) dan padam pada keadaan LOW (0)
  • 26. Daftar Pustaka Datasheet IC 7447 dan IC 7448 (http://www.alldatasheet.com/) http://elektronika-dasar.web.id/dekoder-ttl-bcd-ke-7-segment/ diakses pada Jum‟at, 8 April 2016 10:00 WIB https://nursamsa32.wordpress.com/tag/ic-7447/ diakses pada Minggu, 10 April 2016 22:20 WIB Sumarna. 2015. Percobaan 4:Pengubah Sandi Bcd Ke Peraga 7 Segmen. Ebook Triadi, Achmad & Nurmalia Nasution. 2013. Decoder dan Encoder. Universitas Lampung. ebook Widjanarka, Wijaya. 2006. Teknik Digital. Jakarta :Erlangga