SlideShare a Scribd company logo
1 of 24
Download to read offline
Intro Issues Checks
PowerPlanning
How to Plan your own chip
Ahmed Abdelazeem
Faculty of Engineering
Zagazig University
RTL2GDSII Flow, February 2022
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
PowerPlanning
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Objective of PowerPlanning
To distribute the power from power pads to all elements in
the chip.
Unified supply of power with less voltage drop
A proper Power design should aim at using as less routing
recourse as possible.
Power Analysis (EMIR) check should be done after power
planning is completed
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
PowerPlanning
Creation of the power network within a design
Power planning is integrated with the overall design flow and
must be taken into account early in the design process
because:
# of pads may determine physical size (pad limited).
The power structures within the core area consume physical
area.
The power grid topology effects top level routability, and also
placement and routing within the child blocks.
The power structure effects functionality and reliability.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Simplified Power Distribution Architecture
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Network Elements
Power Pad
Trunks
Connects Ring to Power Pad
Power Rings
Form complete rings around the periphery of the die, around
individual hard macros, or inside of hierarchical blocks
higher-level Metal layers Power
Power Stripes
Carries VDD and VSS from Rings across the chip
Horizontal and vertical metal wires placed in an array across
the entire or section die
higher level routing layers
typically uniformly distributed across the die.
Power Rails
Is used to connect the standard cell power rails together, and
or power straps.
Low level, typically metal 1.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Estimations
Power Estimation is based on total power consumed by the chip:
IO Power
Core Power (Std. Cells +Macros)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Planning
Power Planning includes:
proper Estimation of power of chip
power routing the design based on the estimation.
We create a mesh kind of structure, so that instance(s) can
take direct supply from the nearest point
We create multiple VDD and VSS lines(for each power
domain)
Hierarchical Mesh from upper metal layers to lowest(Ml or M2
layers for standard cells). Connection from higher to adjacent
lower metal layer is through VIAs
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Mesh
Power/Ground mesh will allow multiple paths from P/G
sources to destinations
Hierarchical power and ground meshes from upper metal layers
to lower metal layers
Multiple vias between layers
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Why create mesh kind of structure ?
To distribute the Power from power pads/pins to all elements
of the chip.
Provides multiple paths from PG sources to destinations (less
series resistance)
Uniformly distribute power with less voltage drop.
To meet IR/EM targets
For meeting timing requirements
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Planning vs. Power Routing
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
IR Drop
Reduction in voltage that occurs on power supply networks
IC design expects availability of ideal power supply
In reality, localized voltage drops within the power grid
Increasing current/area on die
Narrower metal line widths (increases power grid resistance)
Results in decreased power supply voltage at cells/transistors
Decreases the operating voltage of the chip, resulting in
timing and functional failures
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Reasons of IR Drop Violations
Power structure is not proper.
Cell density is very high.
Instances are not get proper power because of no straps over
there
Mesh structure is proper but there is no via
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
How to reduce IR drop ?
Routing should be from Top Layer.
By adding some more Power Stripes.
By increasing the width of the metal.
By adding Decaps(DCAP cells).
By using some Low Power Techniques
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Ground Bounce
Increase in voltage that occurs on ground networks (VSS or
GND) in integrated circuits
Increase in ground voltage decreases the operating voltage of
the chip, resulting in timing and functional problems
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Electromigration
Electromigration is the movement of atoms based on the flow
of current through a material.
If the current density is high enough, the heat dissipated
within the material will repeatedly break atoms from the
structure and move them.
Results of EM in ICs: The VOIDs and HILLOCKS gets
created and potentially causing open and short circuits.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
EM violations : Reasons of EM violation
High Fanout Net (multiple fanout cells switch simultaneously,
draws larger current from driver)
Higher Driver Strength Cells(delivers large current
unnecessarily, heating up the wire)
Higher frequency(quick transitions)
Narrow metal width
Metal slotting (resulting into narrower widths)
Long Nets (because of larger resistance, higher localized
temperature)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Solutions of EM violations
Decrease Driver’s drive Strength.
NonDefault (wider) rule based routing.
Insert buffer on long nets.
Route with higher metal layers(lessresistive, higher tolerance
(current carrying capabilities)
Use multi-Cut Via
Break the fanout (have lesser fanouts)
Use wider metals (more width)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
Power Plan Checks
There should be no open connection
All the Macros should be hooked up with Power/Ground.
IR/EM target should be met.
Missing Vias should be taken care
There should be no Hot Spots (during IR-Drop Analysis)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
....
ÕækQË@ áÔgQË@ éÊË@ Õæ„.
C

JÊ
¯ B

@ Õ

Ϊ

Ë@ áÓ Õ
æJKð@ AÓð
Ahmed Abdelazeem ASIC Physical Design

More Related Content

What's hot

5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdfAhmed Abdelazeem
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptxAhmed Abdelazeem
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 

What's hot (20)

5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
Eco
EcoEco
Eco
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 

Similar to PowerPlanning.pdf

C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track cAlona Gradman
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machineAnkit Amlan
 
Your electrical safety specilist for all equipments Powered AC and DC
Your electrical safety specilist for all equipments   Powered AC and DCYour electrical safety specilist for all equipments   Powered AC and DC
Your electrical safety specilist for all equipments Powered AC and DCMahesh Chandra Manav
 
Corporate presentation for l&t solar div by jmv lps
Corporate presentation  for l&t solar div by jmv lpsCorporate presentation  for l&t solar div by jmv lps
Corporate presentation for l&t solar div by jmv lpsMahesh Chandra Manav
 
Solar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV ProductsSolar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV ProductsMahesh Chandra Manav
 
Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...Mahesh Chandra Manav
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningIJSRD
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningIJSRD
 
Electromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorksElectromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorksakhebir
 
Danke Power Report
Danke Power ReportDanke Power Report
Danke Power ReportPriten Vasa
 
Pcb design using_eagle
Pcb design using_eaglePcb design using_eagle
Pcb design using_eagleABDUL MUNAFF
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWIAEME Publication
 
Presentation Smart Hospital Projects and JMV LPS Ltd
 Presentation  Smart Hospital Projects  and JMV LPS Ltd Presentation  Smart Hospital Projects  and JMV LPS Ltd
Presentation Smart Hospital Projects and JMV LPS LtdMahesh Chandra Manav
 
Jmv presentation smart hospital projects india-1
Jmv  presentation  smart hospital projects india-1Jmv  presentation  smart hospital projects india-1
Jmv presentation smart hospital projects india-1Mahesh Chandra Manav
 
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor CoreZen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor CoreAMD
 

Similar to PowerPlanning.pdf (20)

C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machine
 
Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)
 
Your electrical safety specilist for all equipments Powered AC and DC
Your electrical safety specilist for all equipments   Powered AC and DCYour electrical safety specilist for all equipments   Powered AC and DC
Your electrical safety specilist for all equipments Powered AC and DC
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Corporate presentation for l&t solar div by jmv lps
Corporate presentation  for l&t solar div by jmv lpsCorporate presentation  for l&t solar div by jmv lps
Corporate presentation for l&t solar div by jmv lps
 
Solar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV ProductsSolar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV Products
 
Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
 
Edm new
Edm newEdm new
Edm new
 
Electromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorksElectromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorks
 
Danke Power Report
Danke Power ReportDanke Power Report
Danke Power Report
 
Pcb design using_eagle
Pcb design using_eaglePcb design using_eagle
Pcb design using_eagle
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
 
Presentation Smart Hospital Projects and JMV LPS Ltd
 Presentation  Smart Hospital Projects  and JMV LPS Ltd Presentation  Smart Hospital Projects  and JMV LPS Ltd
Presentation Smart Hospital Projects and JMV LPS Ltd
 
Jmv presentation smart hospital projects india-1
Jmv  presentation  smart hospital projects india-1Jmv  presentation  smart hospital projects india-1
Jmv presentation smart hospital projects india-1
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor CoreZen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 

More from Ahmed Abdelazeem

8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdfAhmed Abdelazeem
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?Ahmed Abdelazeem
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfAhmed Abdelazeem
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesAhmed Abdelazeem
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptxAhmed Abdelazeem
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 

More from Ahmed Abdelazeem (15)

10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 

Recently uploaded

Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 

Recently uploaded (20)

Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 

PowerPlanning.pdf

  • 1. Intro Issues Checks PowerPlanning How to Plan your own chip Ahmed Abdelazeem Faculty of Engineering Zagazig University RTL2GDSII Flow, February 2022 Ahmed Abdelazeem ASIC Physical Design
  • 2. Intro Issues Checks Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 3. Intro Issues Checks Design Objective Power Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 4. Intro Issues Checks Design Objective Power PowerPlanning Ahmed Abdelazeem ASIC Physical Design
  • 5. Intro Issues Checks Design Objective Power Objective of PowerPlanning To distribute the power from power pads to all elements in the chip. Unified supply of power with less voltage drop A proper Power design should aim at using as less routing recourse as possible. Power Analysis (EMIR) check should be done after power planning is completed Ahmed Abdelazeem ASIC Physical Design
  • 6. Intro Issues Checks Design Objective Power PowerPlanning Creation of the power network within a design Power planning is integrated with the overall design flow and must be taken into account early in the design process because: # of pads may determine physical size (pad limited). The power structures within the core area consume physical area. The power grid topology effects top level routability, and also placement and routing within the child blocks. The power structure effects functionality and reliability. Ahmed Abdelazeem ASIC Physical Design
  • 7. Intro Issues Checks Design Objective Power Simplified Power Distribution Architecture Ahmed Abdelazeem ASIC Physical Design
  • 8. Intro Issues Checks Design Objective Power Power Network Elements Power Pad Trunks Connects Ring to Power Pad Power Rings Form complete rings around the periphery of the die, around individual hard macros, or inside of hierarchical blocks higher-level Metal layers Power Power Stripes Carries VDD and VSS from Rings across the chip Horizontal and vertical metal wires placed in an array across the entire or section die higher level routing layers typically uniformly distributed across the die. Power Rails Is used to connect the standard cell power rails together, and or power straps. Low level, typically metal 1. Ahmed Abdelazeem ASIC Physical Design
  • 9. Intro Issues Checks Design Objective Power Power Estimations Power Estimation is based on total power consumed by the chip: IO Power Core Power (Std. Cells +Macros) Ahmed Abdelazeem ASIC Physical Design
  • 10. Intro Issues Checks Design Objective Power Power Planning Power Planning includes: proper Estimation of power of chip power routing the design based on the estimation. We create a mesh kind of structure, so that instance(s) can take direct supply from the nearest point We create multiple VDD and VSS lines(for each power domain) Hierarchical Mesh from upper metal layers to lowest(Ml or M2 layers for standard cells). Connection from higher to adjacent lower metal layer is through VIAs Ahmed Abdelazeem ASIC Physical Design
  • 11. Intro Issues Checks Design Objective Power Power Mesh Power/Ground mesh will allow multiple paths from P/G sources to destinations Hierarchical power and ground meshes from upper metal layers to lower metal layers Multiple vias between layers Ahmed Abdelazeem ASIC Physical Design
  • 12. Intro Issues Checks Design Objective Power Why create mesh kind of structure ? To distribute the Power from power pads/pins to all elements of the chip. Provides multiple paths from PG sources to destinations (less series resistance) Uniformly distribute power with less voltage drop. To meet IR/EM targets For meeting timing requirements Ahmed Abdelazeem ASIC Physical Design
  • 13. Intro Issues Checks Design Objective Power Power Planning vs. Power Routing Ahmed Abdelazeem ASIC Physical Design
  • 14. Intro Issues Checks IR Drop Ground Bounce EM Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 15. Intro Issues Checks IR Drop Ground Bounce EM IR Drop Reduction in voltage that occurs on power supply networks IC design expects availability of ideal power supply In reality, localized voltage drops within the power grid Increasing current/area on die Narrower metal line widths (increases power grid resistance) Results in decreased power supply voltage at cells/transistors Decreases the operating voltage of the chip, resulting in timing and functional failures Ahmed Abdelazeem ASIC Physical Design
  • 16. Intro Issues Checks IR Drop Ground Bounce EM Reasons of IR Drop Violations Power structure is not proper. Cell density is very high. Instances are not get proper power because of no straps over there Mesh structure is proper but there is no via Ahmed Abdelazeem ASIC Physical Design
  • 17. Intro Issues Checks IR Drop Ground Bounce EM How to reduce IR drop ? Routing should be from Top Layer. By adding some more Power Stripes. By increasing the width of the metal. By adding Decaps(DCAP cells). By using some Low Power Techniques Ahmed Abdelazeem ASIC Physical Design
  • 18. Intro Issues Checks IR Drop Ground Bounce EM Ground Bounce Increase in voltage that occurs on ground networks (VSS or GND) in integrated circuits Increase in ground voltage decreases the operating voltage of the chip, resulting in timing and functional problems Ahmed Abdelazeem ASIC Physical Design
  • 19. Intro Issues Checks IR Drop Ground Bounce EM Electromigration Electromigration is the movement of atoms based on the flow of current through a material. If the current density is high enough, the heat dissipated within the material will repeatedly break atoms from the structure and move them. Results of EM in ICs: The VOIDs and HILLOCKS gets created and potentially causing open and short circuits. Ahmed Abdelazeem ASIC Physical Design
  • 20. Intro Issues Checks IR Drop Ground Bounce EM EM violations : Reasons of EM violation High Fanout Net (multiple fanout cells switch simultaneously, draws larger current from driver) Higher Driver Strength Cells(delivers large current unnecessarily, heating up the wire) Higher frequency(quick transitions) Narrow metal width Metal slotting (resulting into narrower widths) Long Nets (because of larger resistance, higher localized temperature) Ahmed Abdelazeem ASIC Physical Design
  • 21. Intro Issues Checks IR Drop Ground Bounce EM Solutions of EM violations Decrease Driver’s drive Strength. NonDefault (wider) rule based routing. Insert buffer on long nets. Route with higher metal layers(lessresistive, higher tolerance (current carrying capabilities) Use multi-Cut Via Break the fanout (have lesser fanouts) Use wider metals (more width) Ahmed Abdelazeem ASIC Physical Design
  • 22. Intro Issues Checks Checks Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 23. Intro Issues Checks Checks Power Plan Checks There should be no open connection All the Macros should be hooked up with Power/Ground. IR/EM target should be met. Missing Vias should be taken care There should be no Hot Spots (during IR-Drop Analysis) Ahmed Abdelazeem ASIC Physical Design
  • 24. Intro Issues Checks Checks .... ÕækQË@ áÔgQË@ éÊË@ Õæ„. C JÊ ¯ B @ Õ Îª Ë@ áÓ Õ æJKð@ AÓð Ahmed Abdelazeem ASIC Physical Design