SlideShare a Scribd company logo
1 of 30
Download to read offline
IR drop and Electromigration
Errors Can Break Your ASIC!
Ahmed Abdelazeem
Faculty of Engineering
Zagazig University
RTL2GDSII Flow, February 2022
Ahmed Abdelazeem (ZU) EMIR ZU 2023 1 / 30
Table of Contents
1 Voltage (IR) Drop and Ground Bounce
2 Electromigration
3 Other related Issues
Ahmed Abdelazeem (ZU) EMIR ZU 2023 2 / 30
Table of Contents
1 Voltage (IR) Drop and Ground Bounce
2 Electromigration
3 Other related Issues
Ahmed Abdelazeem (ZU) EMIR ZU 2023 3 / 30
Introduction
IR drop: Voltage drops caused by current flowing from the power
source through the resistive power network to the on-chip devices is
called IR drop.
Ground bounce: Voltage spikes caused by current flowing from
on-chip devices though the resistive ground network to the ground
pins (or bumps)
IR drop and ground bounce combine to impact silicon performance.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 4 / 30
IR Drop Impacts on Setup and Hold Time
In the case where the IR drop occurs within the signal path, the signal
is slowed, potentially causing setup time violations for this signal path
In the case where IR drop occurs on a clock buffer, the clock signal
beyond this buffer is slowed, potentially causing hold time violations
for all signals clocked by this clock branch.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 5 / 30
How Does a Power Rail IR Drop Occur?
Ahmed Abdelazeem (ZU) EMIR ZU 2023 6 / 30
IR Drop Occur
The power supply (VDD and VSS) in a chip is uniformly distributed
through the metal rails and stripes which is called Power Delivery
Network (PDN) or power grid.
Each metal layers used in PDN has finite resistivity.
When current flow through the power delivery network, a part of the
applied voltage will be dropped in PDN as per the Ohm’s law
The amount of voltage drop will be V = I.R, which is called the IR
drop.
If the resistivity of metal wire is high or the amount of current
following through the power net is high, A significant amount of
voltage may be dropped in the power delivery network which will
cause a lesser amount of voltage available to the standard cells than
the actual amount of voltage applied.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 7 / 30
IR Continue
If V1 voltage is applied at the power port and current I is following in
a particular net which has total resistance R, then the voltage
available (V2) to the other end for the standard cell will be
V 2 = V 1–I.R (1)
Standard cells or macros sometimes do not get the minimum
operating voltage which is required to operate them due to IR drop in
power delivery network even the application of sufficient voltage in
the power port.
Voltage drop in the power delivery network before reaching the
standard cells is called IR drop.
This drop may cause the poor performance of the chip due to the
increase of delay of standard cells and may cause the functional
failure of the chip due to setup/hold timing violation.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 8 / 30
Types of IR drop
There are two types of IR drop in the ASIC design:
Static IR drop
Dynamic IR drop
Static IR drop is the voltage drop in the power delivery network
(PDN) when there are no inputs switching means the circuit is in the
static stage.
dynamic IR drop is the voltage drop in the power delivery network
when the inputs are continuously switching means the circuit is in a
functional state. Dynamic IR drop will depend on the switching rate
of instance.
When the inputs are switching continuously, more current would flow
in the instances and also in PDN. So there will be more IR drop in
the PDN. Therefore dynamic IR drop is more than the static IR drop.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 9 / 30
Static vs. Dynamic IR Drop Analysis
Ahmed Abdelazeem (ZU) EMIR ZU 2023 10 / 30
Example Colors for IR Drop
Ahmed Abdelazeem (ZU) EMIR ZU 2023 11 / 30
IR Drop Example for Chip
Ahmed Abdelazeem (ZU) EMIR ZU 2023 12 / 30
Reasons for IR drop:)
IR drop could occur due to various reasons but some main reasons are as
bellow.
Poor design of power delivery network (lesser metal width and more
separation in the power stripes)
inadequate via in power delivery network
Inadequate number of decap cells availability
High cell density and high switching in a particular region
High impedance of the power delivery network
Rush current
Insufficient number of voltage sources
High RC value of the metal layer used to create the power delivery
network
Ahmed Abdelazeem (ZU) EMIR ZU 2023 13 / 30
Method of Reducing Dynamic IR Drop
Ahmed Abdelazeem (ZU) EMIR ZU 2023 14 / 30
Method of Reducing Static IR Drop
The red area means a voltage drop of
more than 10% of the nominal supply
voltage. The solution is to use wider
power stripes or use more metal on
higher levels.
Additional power stripes are added to
the design and are marked in cyan and
magenta.
This IR drop plot is made after an
increase of the number of power stripes.
This plot shows a very low voltage drop,
which is required for a functional chip.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 15 / 30
Methods to improve IR drop
Methods to improve static IR drop
We can go for higher layers if available
Increase the width of the straps.
Increase the number of wires.
Check if any via is missing then add more via.
Methods to improve dynamic IR drop
Use de-cap cells.
Increase the number of straps
Tools used for IR drop analysis
RedHawk of Ansys.
Voltus of Cadence Design System.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 16 / 30
Table of Contents
1 Voltage (IR) Drop and Ground Bounce
2 Electromigration
3 Other related Issues
Ahmed Abdelazeem (ZU) EMIR ZU 2023 17 / 30
What is Electromigration?
Definition
is the gradual displacement of metal atoms in a semiconductor. It happens
when the current density is high enough to cause the drift of metal ions in
the direction of the electron flow.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 18 / 30
What is Electromigration?
Electromigration is a wear-out mechanism of metal wires.
Metal atoms migrate over a period of time, causing open circuits,
shorts circuits, or unacceptable increases in resistance.
There are two main causes of electromigration failure:
1 High (DC) current densities
2 Joule heating, which is caused by high alternating currents
These wear-out mechanisms can take extended periods of time
Ahmed Abdelazeem (ZU) EMIR ZU 2023 19 / 30
Causes of Electromigration
Electromigration is mechanical failure in the wire caused by frequently
varying thermal conditions.
As pulses go through the wire, the power dissipated by the wire
causes it to heat above oxide temperature
The difference in the thermal constants between the oxide and the
wire causes mechanical stress, and the wire can eventually fail
resulting in chip failure in the field.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 20 / 30
Electromigration Damages
Effects of EM
Depletion of atoms (Voids): Slow reduction of connectivity;
Interconnect failure
Deposition of atoms (Hillocks): Shorts
Ahmed Abdelazeem (ZU) EMIR ZU 2023 21 / 30
High (DC) Current Densities
Physical migration of metal atoms due to “electron wind” can
eventually create a break in a wire.
(MTTF) is an indication of the life span of an integrated circuit.
MTTF is calculated using Black’s equation as bellow.
MTTF =
A
Jn
exp(
Ea
KT
) (2)
Current density must not exceed specification
Specified as mA/ µm wire width (e.g., 1 mA/µm) or mA per via cut
EM occurs both in signal (AC=bidirectional) and power wires (DC =
unidirectional)
Much worse for DC than AC; DC occurs inside cells and in power buses
Ahmed Abdelazeem (ZU) EMIR ZU 2023 22 / 30
Example: Current Density
Ahmed Abdelazeem (ZU) EMIR ZU 2023 23 / 30
Reasons of Electromigration
Reasons of EM Violation:
High Fanout Net(Multiple fanout cells switch simultaneously, draws
larger current from driver)
Higher Driver strength Cells (delivers large current unnecessarily,
heating up the wire)
Higher Frequency (quick tarnsitions)
Narrow Metal Width.
Metal slotting (resulting into narrower widths)
Long Nets (because of larger resistance, higher localized temperature)
Ahmed Abdelazeem (ZU) EMIR ZU 2023 24 / 30
Prevention techniques for EM:
During the physical design, the following techniques could be used to
prevent the EM issue.
Solutions of EM Violation:
Decrease Drive’s drive Strength.
Insert Buffer on long nets.
Increase the width of wire
Adding more vias (Multi-Cut Vias)
Break the fanout (have lessar fanout)
Switch the net to higher metal layers.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 25 / 30
Table of Contents
1 Voltage (IR) Drop and Ground Bounce
2 Electromigration
3 Other related Issues
Ahmed Abdelazeem (ZU) EMIR ZU 2023 26 / 30
What Is Joule Heating?
Wire Self-Heat (WSH)
May also be called signal wire electromigration, or Joule heating, since
it is related to the power that is dissipated into the interconnect.
WSH is the rise in temperature due to the electron movement within
a conductor.
Depends on metal composition, signal frequency, wire sizes, slew
rates, and amount of capacitance driven
Self-heating = More EM
Since SH increases temperature, self-heating on a metal line can
aggravate EM effects.
SH on a line can also increase EM effects on neighboring lines.
Because self-heating contributes to electromigration, failures are
typically labeled as EM, not SH.
Ahmed Abdelazeem (ZU) EMIR ZU 2023 27 / 30
Hot Electron Effect (Short Channel Effect)
Caused by extremely high electric fields between source and drain
Occurs when voltages are not scaled as fast as dimensions
Electrons pick up speed in the channel
Fastest electrons damage the oxide and interface near the drain
Transistor threshold and mobility change over the life of the part, i.e.,
threshold eventually moves to a point where the device no longer
meets specifications
Ahmed Abdelazeem (ZU) EMIR ZU 2023 28 / 30
Analysis Output from Power Grid Analysis
Ahmed Abdelazeem (ZU) EMIR ZU 2023 29 / 30
Wrap up
ÕækQË@ áÔgQË@ éÊË@ Õæ„.
C

JÊ
¯ B

@ Õ

Ϊ

Ë@ áÓ Õ
æJKð@ AÓð
Ahmed Abdelazeem (ZU) EMIR ZU 2023 30 / 30

More Related Content

What's hot

Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptxAhmed Abdelazeem
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction TechniquesRajesh M
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 

What's hot (20)

Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Latch up
Latch upLatch up
Latch up
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 

Similar to EMIR.pdf

sameer amale edm presentation
sameer amale   edm presentationsameer amale   edm presentation
sameer amale edm presentationAkash Maurya
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machineAnkit Amlan
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWIAEME Publication
 
Electrical discharge machining
Electrical discharge machiningElectrical discharge machining
Electrical discharge machiningNitin Vadhel
 
Three phase shifter appliance
Three phase shifter applianceThree phase shifter appliance
Three phase shifter applianceAnil Maurya
 
Protection Devices and the Lightning
Protection Devices and the LightningProtection Devices and the Lightning
Protection Devices and the LightningAmeen San
 
Study of process parameter of wire electric discharge machining the review
Study of process parameter of wire electric discharge machining the reviewStudy of process parameter of wire electric discharge machining the review
Study of process parameter of wire electric discharge machining the reviewIAEME Publication
 
Short-Circuit calculation -Ppt-Slides - Copy.ppt
Short-Circuit calculation -Ppt-Slides - Copy.pptShort-Circuit calculation -Ppt-Slides - Copy.ppt
Short-Circuit calculation -Ppt-Slides - Copy.pptJatmylHarnero1
 
Behavioral studies of surge protection components
Behavioral studies of surge protection componentsBehavioral studies of surge protection components
Behavioral studies of surge protection componentsjournalBEEI
 
Noise & coherent interferences measurments
Noise & coherent interferences measurmentsNoise & coherent interferences measurments
Noise & coherent interferences measurmentsAshish Jassal
 

Similar to EMIR.pdf (20)

sameer amale edm presentation
sameer amale   edm presentationsameer amale   edm presentation
sameer amale edm presentation
 
electrical system
electrical system electrical system
electrical system
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machine
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
 
Lecture-2.2.pdf
Lecture-2.2.pdfLecture-2.2.pdf
Lecture-2.2.pdf
 
Emi unit iv ppt
Emi unit iv pptEmi unit iv ppt
Emi unit iv ppt
 
Sheath bonding method for underground cables
Sheath bonding method for underground cablesSheath bonding method for underground cables
Sheath bonding method for underground cables
 
Welding
WeldingWelding
Welding
 
Transient Suppressors
Transient SuppressorsTransient Suppressors
Transient Suppressors
 
Electrical discharge machining
Electrical discharge machiningElectrical discharge machining
Electrical discharge machining
 
Three phase shifter appliance
Three phase shifter applianceThree phase shifter appliance
Three phase shifter appliance
 
Protection Devices and the Lightning
Protection Devices and the LightningProtection Devices and the Lightning
Protection Devices and the Lightning
 
22
2222
22
 
Study of process parameter of wire electric discharge machining the review
Study of process parameter of wire electric discharge machining the reviewStudy of process parameter of wire electric discharge machining the review
Study of process parameter of wire electric discharge machining the review
 
Surge arrester
Surge arresterSurge arrester
Surge arrester
 
Transmission Line
Transmission LineTransmission Line
Transmission Line
 
Short-Circuit calculation -Ppt-Slides - Copy.ppt
Short-Circuit calculation -Ppt-Slides - Copy.pptShort-Circuit calculation -Ppt-Slides - Copy.ppt
Short-Circuit calculation -Ppt-Slides - Copy.ppt
 
Behavioral studies of surge protection components
Behavioral studies of surge protection componentsBehavioral studies of surge protection components
Behavioral studies of surge protection components
 
Noise & coherent interferences measurments
Noise & coherent interferences measurmentsNoise & coherent interferences measurments
Noise & coherent interferences measurments
 
Mcb, rccb, elcb
Mcb, rccb, elcbMcb, rccb, elcb
Mcb, rccb, elcb
 

More from Ahmed Abdelazeem

8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdfAhmed Abdelazeem
 
5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdfAhmed Abdelazeem
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?Ahmed Abdelazeem
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfAhmed Abdelazeem
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesAhmed Abdelazeem
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptxAhmed Abdelazeem
 

More from Ahmed Abdelazeem (20)

10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
PowerPlanning.pdf
PowerPlanning.pdfPowerPlanning.pdf
PowerPlanning.pdf
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 

Recently uploaded

OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 

Recently uploaded (20)

OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 

EMIR.pdf

  • 1. IR drop and Electromigration Errors Can Break Your ASIC! Ahmed Abdelazeem Faculty of Engineering Zagazig University RTL2GDSII Flow, February 2022 Ahmed Abdelazeem (ZU) EMIR ZU 2023 1 / 30
  • 2. Table of Contents 1 Voltage (IR) Drop and Ground Bounce 2 Electromigration 3 Other related Issues Ahmed Abdelazeem (ZU) EMIR ZU 2023 2 / 30
  • 3. Table of Contents 1 Voltage (IR) Drop and Ground Bounce 2 Electromigration 3 Other related Issues Ahmed Abdelazeem (ZU) EMIR ZU 2023 3 / 30
  • 4. Introduction IR drop: Voltage drops caused by current flowing from the power source through the resistive power network to the on-chip devices is called IR drop. Ground bounce: Voltage spikes caused by current flowing from on-chip devices though the resistive ground network to the ground pins (or bumps) IR drop and ground bounce combine to impact silicon performance. Ahmed Abdelazeem (ZU) EMIR ZU 2023 4 / 30
  • 5. IR Drop Impacts on Setup and Hold Time In the case where the IR drop occurs within the signal path, the signal is slowed, potentially causing setup time violations for this signal path In the case where IR drop occurs on a clock buffer, the clock signal beyond this buffer is slowed, potentially causing hold time violations for all signals clocked by this clock branch. Ahmed Abdelazeem (ZU) EMIR ZU 2023 5 / 30
  • 6. How Does a Power Rail IR Drop Occur? Ahmed Abdelazeem (ZU) EMIR ZU 2023 6 / 30
  • 7. IR Drop Occur The power supply (VDD and VSS) in a chip is uniformly distributed through the metal rails and stripes which is called Power Delivery Network (PDN) or power grid. Each metal layers used in PDN has finite resistivity. When current flow through the power delivery network, a part of the applied voltage will be dropped in PDN as per the Ohm’s law The amount of voltage drop will be V = I.R, which is called the IR drop. If the resistivity of metal wire is high or the amount of current following through the power net is high, A significant amount of voltage may be dropped in the power delivery network which will cause a lesser amount of voltage available to the standard cells than the actual amount of voltage applied. Ahmed Abdelazeem (ZU) EMIR ZU 2023 7 / 30
  • 8. IR Continue If V1 voltage is applied at the power port and current I is following in a particular net which has total resistance R, then the voltage available (V2) to the other end for the standard cell will be V 2 = V 1–I.R (1) Standard cells or macros sometimes do not get the minimum operating voltage which is required to operate them due to IR drop in power delivery network even the application of sufficient voltage in the power port. Voltage drop in the power delivery network before reaching the standard cells is called IR drop. This drop may cause the poor performance of the chip due to the increase of delay of standard cells and may cause the functional failure of the chip due to setup/hold timing violation. Ahmed Abdelazeem (ZU) EMIR ZU 2023 8 / 30
  • 9. Types of IR drop There are two types of IR drop in the ASIC design: Static IR drop Dynamic IR drop Static IR drop is the voltage drop in the power delivery network (PDN) when there are no inputs switching means the circuit is in the static stage. dynamic IR drop is the voltage drop in the power delivery network when the inputs are continuously switching means the circuit is in a functional state. Dynamic IR drop will depend on the switching rate of instance. When the inputs are switching continuously, more current would flow in the instances and also in PDN. So there will be more IR drop in the PDN. Therefore dynamic IR drop is more than the static IR drop. Ahmed Abdelazeem (ZU) EMIR ZU 2023 9 / 30
  • 10. Static vs. Dynamic IR Drop Analysis Ahmed Abdelazeem (ZU) EMIR ZU 2023 10 / 30
  • 11. Example Colors for IR Drop Ahmed Abdelazeem (ZU) EMIR ZU 2023 11 / 30
  • 12. IR Drop Example for Chip Ahmed Abdelazeem (ZU) EMIR ZU 2023 12 / 30
  • 13. Reasons for IR drop:) IR drop could occur due to various reasons but some main reasons are as bellow. Poor design of power delivery network (lesser metal width and more separation in the power stripes) inadequate via in power delivery network Inadequate number of decap cells availability High cell density and high switching in a particular region High impedance of the power delivery network Rush current Insufficient number of voltage sources High RC value of the metal layer used to create the power delivery network Ahmed Abdelazeem (ZU) EMIR ZU 2023 13 / 30
  • 14. Method of Reducing Dynamic IR Drop Ahmed Abdelazeem (ZU) EMIR ZU 2023 14 / 30
  • 15. Method of Reducing Static IR Drop The red area means a voltage drop of more than 10% of the nominal supply voltage. The solution is to use wider power stripes or use more metal on higher levels. Additional power stripes are added to the design and are marked in cyan and magenta. This IR drop plot is made after an increase of the number of power stripes. This plot shows a very low voltage drop, which is required for a functional chip. Ahmed Abdelazeem (ZU) EMIR ZU 2023 15 / 30
  • 16. Methods to improve IR drop Methods to improve static IR drop We can go for higher layers if available Increase the width of the straps. Increase the number of wires. Check if any via is missing then add more via. Methods to improve dynamic IR drop Use de-cap cells. Increase the number of straps Tools used for IR drop analysis RedHawk of Ansys. Voltus of Cadence Design System. Ahmed Abdelazeem (ZU) EMIR ZU 2023 16 / 30
  • 17. Table of Contents 1 Voltage (IR) Drop and Ground Bounce 2 Electromigration 3 Other related Issues Ahmed Abdelazeem (ZU) EMIR ZU 2023 17 / 30
  • 18. What is Electromigration? Definition is the gradual displacement of metal atoms in a semiconductor. It happens when the current density is high enough to cause the drift of metal ions in the direction of the electron flow. Ahmed Abdelazeem (ZU) EMIR ZU 2023 18 / 30
  • 19. What is Electromigration? Electromigration is a wear-out mechanism of metal wires. Metal atoms migrate over a period of time, causing open circuits, shorts circuits, or unacceptable increases in resistance. There are two main causes of electromigration failure: 1 High (DC) current densities 2 Joule heating, which is caused by high alternating currents These wear-out mechanisms can take extended periods of time Ahmed Abdelazeem (ZU) EMIR ZU 2023 19 / 30
  • 20. Causes of Electromigration Electromigration is mechanical failure in the wire caused by frequently varying thermal conditions. As pulses go through the wire, the power dissipated by the wire causes it to heat above oxide temperature The difference in the thermal constants between the oxide and the wire causes mechanical stress, and the wire can eventually fail resulting in chip failure in the field. Ahmed Abdelazeem (ZU) EMIR ZU 2023 20 / 30
  • 21. Electromigration Damages Effects of EM Depletion of atoms (Voids): Slow reduction of connectivity; Interconnect failure Deposition of atoms (Hillocks): Shorts Ahmed Abdelazeem (ZU) EMIR ZU 2023 21 / 30
  • 22. High (DC) Current Densities Physical migration of metal atoms due to “electron wind” can eventually create a break in a wire. (MTTF) is an indication of the life span of an integrated circuit. MTTF is calculated using Black’s equation as bellow. MTTF = A Jn exp( Ea KT ) (2) Current density must not exceed specification Specified as mA/ µm wire width (e.g., 1 mA/µm) or mA per via cut EM occurs both in signal (AC=bidirectional) and power wires (DC = unidirectional) Much worse for DC than AC; DC occurs inside cells and in power buses Ahmed Abdelazeem (ZU) EMIR ZU 2023 22 / 30
  • 23. Example: Current Density Ahmed Abdelazeem (ZU) EMIR ZU 2023 23 / 30
  • 24. Reasons of Electromigration Reasons of EM Violation: High Fanout Net(Multiple fanout cells switch simultaneously, draws larger current from driver) Higher Driver strength Cells (delivers large current unnecessarily, heating up the wire) Higher Frequency (quick tarnsitions) Narrow Metal Width. Metal slotting (resulting into narrower widths) Long Nets (because of larger resistance, higher localized temperature) Ahmed Abdelazeem (ZU) EMIR ZU 2023 24 / 30
  • 25. Prevention techniques for EM: During the physical design, the following techniques could be used to prevent the EM issue. Solutions of EM Violation: Decrease Drive’s drive Strength. Insert Buffer on long nets. Increase the width of wire Adding more vias (Multi-Cut Vias) Break the fanout (have lessar fanout) Switch the net to higher metal layers. Ahmed Abdelazeem (ZU) EMIR ZU 2023 25 / 30
  • 26. Table of Contents 1 Voltage (IR) Drop and Ground Bounce 2 Electromigration 3 Other related Issues Ahmed Abdelazeem (ZU) EMIR ZU 2023 26 / 30
  • 27. What Is Joule Heating? Wire Self-Heat (WSH) May also be called signal wire electromigration, or Joule heating, since it is related to the power that is dissipated into the interconnect. WSH is the rise in temperature due to the electron movement within a conductor. Depends on metal composition, signal frequency, wire sizes, slew rates, and amount of capacitance driven Self-heating = More EM Since SH increases temperature, self-heating on a metal line can aggravate EM effects. SH on a line can also increase EM effects on neighboring lines. Because self-heating contributes to electromigration, failures are typically labeled as EM, not SH. Ahmed Abdelazeem (ZU) EMIR ZU 2023 27 / 30
  • 28. Hot Electron Effect (Short Channel Effect) Caused by extremely high electric fields between source and drain Occurs when voltages are not scaled as fast as dimensions Electrons pick up speed in the channel Fastest electrons damage the oxide and interface near the drain Transistor threshold and mobility change over the life of the part, i.e., threshold eventually moves to a point where the device no longer meets specifications Ahmed Abdelazeem (ZU) EMIR ZU 2023 28 / 30
  • 29. Analysis Output from Power Grid Analysis Ahmed Abdelazeem (ZU) EMIR ZU 2023 29 / 30
  • 30. Wrap up ÕækQË@ áÔgQË@ éÊË@ Õæ„. C JÊ ¯ B @ Õ Îª Ë@ áÓ Õ æJKð@ AÓð Ahmed Abdelazeem (ZU) EMIR ZU 2023 30 / 30