SlideShare a Scribd company logo
1 of 20
Download to read offline
Digital
Implementation
Design for Manufacturing
© Ahmed Abdelazeem. All rights reserved 1
Contents
After completing this unit, you should be able to:
❑ Perform key chip finishing and design for
• manufacturing steps required after the signal
• routing is complete: Fix antenna violations
• Modify the routing patterns to make them more
resistant to defects
• Add redundant contacts
• Perform metal filling and slotting
• Insert filler cells
• Run DRC and LVS operations
3/6/2024 2
© Ahmed Abdelazeem. All rights reserved
Facilities
Building Hours
Restrooms
Meals
Messages
Smoking
Recycling
Phones
Emergency EXIT
Please turn off cell phones and pagers
3
© Ahmed Abdelazeem. All rights reserved
3/6/2024
Workshop Goal
Use IC Compiler II to perform placement, DFT,
CTS, routing and optimization, achieving timing
closure for designs with moderate to high design
challenges.
4
© Ahmed Abdelazeem. All rights reserved
3/6/2024
Target Audience
ASIC, back-end or layout designers with
experience in standard cell-based automatic
Place&Route.
5
© Ahmed Abdelazeem. All rights reserved
3/6/2024
High-Level IC Compiler Flow
Gate-level netlist
Synthesis
Design & Time Setup
Floorplan Definition
Placement & Optimization
CTS & Optimization
Routing & Optimization
Signoff
IC
Compiler
II
6
3/6/2024 © Ahmed Abdelazeem. All rights reserved
✓
✓

✓
✓
✓
Design Status, Completion of Routing Phase
3/6/2024 © Ahmed Abdelazeem. All rights reserved 7
❑ Placement - completed
❑ CTS – completed
❑ Power and ground nets – routed
❑ Signal and clock nets – routed
❑ Signal Integrity issues – fixed/acceptable
❑ Calculated timing – acceptable (>= 0ns slack)
❑ Logical DRC – max cap/transition – no violations
❑ Physical DRC – no violations
Signoff/DFM
❑ After route_opt has been completed, there are several tasks that are required and
some that might be required
❑ Required:
• Sign-off Extraction and STA, with possible ECO
• Sign-off DRC
• Filler cell insertion (fill gaps between standard cells)
• Metal Filling (fill metal layers for CMP)
❑ Possibly required:
• Functional ECO (pre- or post-freeze-silicon)
3/6/2024 © Ahmed Abdelazeem. All rights reserved 8
Manufacturability Issues
• IC Compiler II can address several issues to increase manufacturing yield:
• Gate Oxide integrity → antenna fixing
• Via resistance and reliability → extra contacts
• Random Particle defect → Wire spreading
• Metal erosion → metal slotting
• Metal liftoff → metal slotting
• Metal Over-Etching → metal fill
3/6/2024 © Ahmed Abdelazeem. All rights reserved 9
Problem: Random Particle Defects
3/6/2024 © Ahmed Abdelazeem. All rights reserved 10
❑ Random missing or extra material causes opens or shorts during the
fabrication process
• Wires at minimum spacing are most susceptible to shorts
• Minimum-width wires are most susceptible to opens
Critical
Areas
Conductive defects within critical area -
causing shorts
Non-conductive defects within critical area -
causing opens
Metal 3
Conductive defects outside critical area –
no shorts
Non-conductive defects outside critical area –
no opens
Solution: Wire Spreading + Widening
3/6/2024 © Ahmed Abdelazeem. All rights reserved 11
❑ Spread wires to reduce short critical area
• Push routes off-track by ½ pitch
• Will not push “frozen” nets
❑ Widen wires to reduce open critical area
Wire Tracks
Spreading
off-track
Widening
Problem: Metal Erosion
3/6/2024 © Ahmed Abdelazeem. All rights reserved 12
❑ The wafer is made flat (planarized) by a process called Chemical
Mechanical Polishing (CMP)
❑ Metals are mechanically softer than dielectrics:
• CMP leaves metal tops with a concave shape - dishing
• The wider the metal the more pronounced the dishing
• Wide traces with little intervening dielectric and can become quite thin –
dishing this severe is called erosion
❑ Process rules specify maximum metal density per layer to minimize
erosion
Problem: Metal Liftoff
3/6/2024 © Ahmed Abdelazeem. All rights reserved 13
❑ Conductors and Dielectrics have different coefficients of thermal
expansion:
• Stress builds up with temperature cycling
• Metals can delaminate (lift off) with time
• Wide metal traces are more vulnerable than narrow ones
❑ Maximum metal density rules also address this issue
Dielectric
Metal thermal expansion
Dielectric thermal expansion
Metal
Solution: Metal Slotting
3/6/2024 © Ahmed Abdelazeem. All rights reserved 14
❑ Slotting wide wires reduces the metal density
❑ Slots minimize stress buildup, reducing liftoff tendency
❑ Primarily used on Power and Ground traces:
• Can apply to any other net if wide enough
❑ Slotting parameters can be set layer by layer
OpenSlot SideSpace
EndSpace Length
SideClearance
Width
Problem: Metal Over-Etching
3/6/2024 © Ahmed Abdelazeem. All rights reserved 15
❑ A narrow metal wire separated from other metal receives a higher density of
etchant than closely spaced wires
❑ The narrow metal can get over-etched
❑ Minimum metal density rules are used to control this
Plasma Etchant etches away
un-protected metal
Less
etchant
per um2
of metal
Over-etching
due to high
etchant density
Solution: Metal Fill
3/6/2024 © Ahmed Abdelazeem. All rights reserved 16
❑ Fills empty tracks with metal shapes to meet the minimum metal
density rules
❑ Uses up most of the remaining routing resource:
• No further routing or antenna fixes can be done
Final Validation
3/6/2024 © Ahmed Abdelazeem. All rights reserved 17
From DFM steps
Write .spef file
Generate a
PARA view
Generate
output netlist
PrimeTime
IC
Validator
Signoff STA
Signoff
DRC & LVS
Output GDS2
Prove logical
equivalence after
ICC II optimizations
Timing
engine
ICC II Formality
Final Validation: Netlist Output
❑ Netlists for STA (Static Timing Analysis) do not require output of “Physical only cells”
like:
• Corner Pad Cells
• Pad/ Core Filler Cells
• Unconnected Cell instances
• Deselect unneeded instances in the write_verilog form
❑ Unconnected cell instances (spare cells) are needed for LVS
❑ By default, the top-level netlist generated from ICC II is flat
3/6/2024 © Ahmed Abdelazeem. All rights reserved 18
What if you need a hierarchical netlist?
Final Validation: GDS2 Output
❑ The GDS2 for external Physical verification can be generated from ICC II
❑ Require output of “Physical only cells” like:
• Corner Pad Cells
• Pad/ Core Filler Cells
• Unconnected Cell instances
3/6/2024 © Ahmed Abdelazeem. All rights reserved 19
That’s all Folks!
3/6/2024 © Ahmed Abdelazeem. All rights reserved 20

More Related Content

Similar to 10. Signoff.pdf

Ein 3390 chap 19 nontraditional machining fall 2011
Ein 3390 chap 19 nontraditional machining fall 2011Ein 3390 chap 19 nontraditional machining fall 2011
Ein 3390 chap 19 nontraditional machining fall 2011
Lenie Sta Romana
 
Advanced manufacturing technology pdf.pdf
Advanced manufacturing technology pdf.pdfAdvanced manufacturing technology pdf.pdf
Advanced manufacturing technology pdf.pdf
AtanuJana21
 

Similar to 10. Signoff.pdf (20)

Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Electrical safety presentation by jmv railway and invitation ireee2019 at ...
Electrical safety  presentation by jmv   railway and invitation ireee2019 at ...Electrical safety  presentation by jmv   railway and invitation ireee2019 at ...
Electrical safety presentation by jmv railway and invitation ireee2019 at ...
 
Adsvance Manufacturing Process.ppt
Adsvance Manufacturing Process.pptAdsvance Manufacturing Process.ppt
Adsvance Manufacturing Process.ppt
 
Printed circuit board
Printed circuit boardPrinted circuit board
Printed circuit board
 
Ein 3390 chap 19 nontraditional machining fall 2011
Ein 3390 chap 19 nontraditional machining fall 2011Ein 3390 chap 19 nontraditional machining fall 2011
Ein 3390 chap 19 nontraditional machining fall 2011
 
Pcb designing
Pcb designingPcb designing
Pcb designing
 
THERMAL AND ELECTRICAL BASED PROCESSES
THERMAL AND ELECTRICAL BASED PROCESSESTHERMAL AND ELECTRICAL BASED PROCESSES
THERMAL AND ELECTRICAL BASED PROCESSES
 
Yoga Poses May be Good For You, but Not Your Ceramic Capacitor
Yoga Poses May be Good For You, but Not Your Ceramic Capacitor Yoga Poses May be Good For You, but Not Your Ceramic Capacitor
Yoga Poses May be Good For You, but Not Your Ceramic Capacitor
 
Surface Finishes: Why do I need to know more?
Surface Finishes: Why do I need to know more?Surface Finishes: Why do I need to know more?
Surface Finishes: Why do I need to know more?
 
Contactor generalizations
Contactor generalizationsContactor generalizations
Contactor generalizations
 
Unit 2
Unit 2Unit 2
Unit 2
 
Unit 2
Unit 2Unit 2
Unit 2
 
26.ppt
26.ppt26.ppt
26.ppt
 
UNIT 2.pptx
UNIT 2.pptxUNIT 2.pptx
UNIT 2.pptx
 
Contactor generalizations
Contactor generalizationsContactor generalizations
Contactor generalizations
 
Advanced manufacturing technology pdf.pdf
Advanced manufacturing technology pdf.pdfAdvanced manufacturing technology pdf.pdf
Advanced manufacturing technology pdf.pdf
 
Advances in Ceramic Capacitors
Advances in Ceramic CapacitorsAdvances in Ceramic Capacitors
Advances in Ceramic Capacitors
 
LBM
LBMLBM
LBM
 
Advances in Ceramic Capacitors
Advances in Ceramic CapacitorsAdvances in Ceramic Capacitors
Advances in Ceramic Capacitors
 
ELETROCHEMICAL MACHINING BY HIMANSHU VAID
 ELETROCHEMICAL MACHINING BY HIMANSHU VAID ELETROCHEMICAL MACHINING BY HIMANSHU VAID
ELETROCHEMICAL MACHINING BY HIMANSHU VAID
 

More from Ahmed Abdelazeem

Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Ahmed Abdelazeem
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
Ahmed Abdelazeem
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
Ahmed Abdelazeem
 

More from Ahmed Abdelazeem (20)

8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 

Recently uploaded

一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
wpkuukw
 
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
motiram463
 
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
Naicy mandal
 
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
wpkuukw
 
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
tufbav
 
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
amitlee9823
 
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
amitlee9823
 
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
amitlee9823
 

Recently uploaded (20)

Escorts Service Daryaganj - 9899900591 College Girls & Models 24/7
Escorts Service Daryaganj - 9899900591 College Girls & Models 24/7Escorts Service Daryaganj - 9899900591 College Girls & Models 24/7
Escorts Service Daryaganj - 9899900591 College Girls & Models 24/7
 
NO1 Verified Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi A...
NO1 Verified Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi A...NO1 Verified Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi A...
NO1 Verified Amil Baba In Karachi Kala Jadu In Karachi Amil baba In Karachi A...
 
Call Girls Pimple Saudagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Pimple Saudagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Pimple Saudagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Pimple Saudagar Call Me 7737669865 Budget Friendly No Advance Booking
 
一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
一比一定(购)新西兰林肯大学毕业证(Lincoln毕业证)成绩单学位证
 
(=Towel) Dubai Call Girls O525547819 Call Girls In Dubai (Fav0r)
(=Towel) Dubai Call Girls O525547819 Call Girls In Dubai (Fav0r)(=Towel) Dubai Call Girls O525547819 Call Girls In Dubai (Fav0r)
(=Towel) Dubai Call Girls O525547819 Call Girls In Dubai (Fav0r)
 
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
(👉Ridhima)👉VIP Model Call Girls Mulund ( Mumbai) Call ON 9967824496 Starting ...
 
Top Rated Pune Call Girls Ravet ⟟ 6297143586 ⟟ Call Me For Genuine Sex Servi...
Top Rated  Pune Call Girls Ravet ⟟ 6297143586 ⟟ Call Me For Genuine Sex Servi...Top Rated  Pune Call Girls Ravet ⟟ 6297143586 ⟟ Call Me For Genuine Sex Servi...
Top Rated Pune Call Girls Ravet ⟟ 6297143586 ⟟ Call Me For Genuine Sex Servi...
 
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
Makarba ( Call Girls ) Ahmedabad ✔ 6297143586 ✔ Hot Model With Sexy Bhabi Rea...
 
9892124323 Pooja Nehwal Call Girls Services Call Girls service in Santacruz A...
9892124323 Pooja Nehwal Call Girls Services Call Girls service in Santacruz A...9892124323 Pooja Nehwal Call Girls Services Call Girls service in Santacruz A...
9892124323 Pooja Nehwal Call Girls Services Call Girls service in Santacruz A...
 
Call Girls in Vashi Escorts Services - 7738631006
Call Girls in Vashi Escorts Services - 7738631006Call Girls in Vashi Escorts Services - 7738631006
Call Girls in Vashi Escorts Services - 7738631006
 
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
一比一定(购)坎特伯雷大学毕业证(UC毕业证)成绩单学位证
 
Introduction-to-4x4-SRAM-Memory-Block.pptx
Introduction-to-4x4-SRAM-Memory-Block.pptxIntroduction-to-4x4-SRAM-Memory-Block.pptx
Introduction-to-4x4-SRAM-Memory-Block.pptx
 
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
怎样办理斯威本科技大学毕业证(SUT毕业证书)成绩单留信认证
 
Book Paid Lohegaon Call Girls Pune 8250192130Low Budget Full Independent High...
Book Paid Lohegaon Call Girls Pune 8250192130Low Budget Full Independent High...Book Paid Lohegaon Call Girls Pune 8250192130Low Budget Full Independent High...
Book Paid Lohegaon Call Girls Pune 8250192130Low Budget Full Independent High...
 
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
Call Girls Chickpet ☎ 7737669865☎ Book Your One night Stand (Bangalore)
 
(ISHITA) Call Girls Service Aurangabad Call Now 8617697112 Aurangabad Escorts...
(ISHITA) Call Girls Service Aurangabad Call Now 8617697112 Aurangabad Escorts...(ISHITA) Call Girls Service Aurangabad Call Now 8617697112 Aurangabad Escorts...
(ISHITA) Call Girls Service Aurangabad Call Now 8617697112 Aurangabad Escorts...
 
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
Kothanur Call Girls Service: 🍓 7737669865 🍓 High Profile Model Escorts | Bang...
 
Sector 18, Noida Call girls :8448380779 Model Escorts | 100% verified
Sector 18, Noida Call girls :8448380779 Model Escorts | 100% verifiedSector 18, Noida Call girls :8448380779 Model Escorts | 100% verified
Sector 18, Noida Call girls :8448380779 Model Escorts | 100% verified
 
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
Vip Mumbai Call Girls Andheri East Call On 9920725232 With Body to body massa...
 
Shikrapur Call Girls Most Awaited Fun 6297143586 High Profiles young Beautie...
Shikrapur Call Girls Most Awaited Fun  6297143586 High Profiles young Beautie...Shikrapur Call Girls Most Awaited Fun  6297143586 High Profiles young Beautie...
Shikrapur Call Girls Most Awaited Fun 6297143586 High Profiles young Beautie...
 

10. Signoff.pdf

  • 1. Digital Implementation Design for Manufacturing © Ahmed Abdelazeem. All rights reserved 1
  • 2. Contents After completing this unit, you should be able to: ❑ Perform key chip finishing and design for • manufacturing steps required after the signal • routing is complete: Fix antenna violations • Modify the routing patterns to make them more resistant to defects • Add redundant contacts • Perform metal filling and slotting • Insert filler cells • Run DRC and LVS operations 3/6/2024 2 © Ahmed Abdelazeem. All rights reserved
  • 3. Facilities Building Hours Restrooms Meals Messages Smoking Recycling Phones Emergency EXIT Please turn off cell phones and pagers 3 © Ahmed Abdelazeem. All rights reserved 3/6/2024
  • 4. Workshop Goal Use IC Compiler II to perform placement, DFT, CTS, routing and optimization, achieving timing closure for designs with moderate to high design challenges. 4 © Ahmed Abdelazeem. All rights reserved 3/6/2024
  • 5. Target Audience ASIC, back-end or layout designers with experience in standard cell-based automatic Place&Route. 5 © Ahmed Abdelazeem. All rights reserved 3/6/2024
  • 6. High-Level IC Compiler Flow Gate-level netlist Synthesis Design & Time Setup Floorplan Definition Placement & Optimization CTS & Optimization Routing & Optimization Signoff IC Compiler II 6 3/6/2024 © Ahmed Abdelazeem. All rights reserved ✓ ✓  ✓ ✓ ✓
  • 7. Design Status, Completion of Routing Phase 3/6/2024 © Ahmed Abdelazeem. All rights reserved 7 ❑ Placement - completed ❑ CTS – completed ❑ Power and ground nets – routed ❑ Signal and clock nets – routed ❑ Signal Integrity issues – fixed/acceptable ❑ Calculated timing – acceptable (>= 0ns slack) ❑ Logical DRC – max cap/transition – no violations ❑ Physical DRC – no violations
  • 8. Signoff/DFM ❑ After route_opt has been completed, there are several tasks that are required and some that might be required ❑ Required: • Sign-off Extraction and STA, with possible ECO • Sign-off DRC • Filler cell insertion (fill gaps between standard cells) • Metal Filling (fill metal layers for CMP) ❑ Possibly required: • Functional ECO (pre- or post-freeze-silicon) 3/6/2024 © Ahmed Abdelazeem. All rights reserved 8
  • 9. Manufacturability Issues • IC Compiler II can address several issues to increase manufacturing yield: • Gate Oxide integrity → antenna fixing • Via resistance and reliability → extra contacts • Random Particle defect → Wire spreading • Metal erosion → metal slotting • Metal liftoff → metal slotting • Metal Over-Etching → metal fill 3/6/2024 © Ahmed Abdelazeem. All rights reserved 9
  • 10. Problem: Random Particle Defects 3/6/2024 © Ahmed Abdelazeem. All rights reserved 10 ❑ Random missing or extra material causes opens or shorts during the fabrication process • Wires at minimum spacing are most susceptible to shorts • Minimum-width wires are most susceptible to opens Critical Areas Conductive defects within critical area - causing shorts Non-conductive defects within critical area - causing opens Metal 3 Conductive defects outside critical area – no shorts Non-conductive defects outside critical area – no opens
  • 11. Solution: Wire Spreading + Widening 3/6/2024 © Ahmed Abdelazeem. All rights reserved 11 ❑ Spread wires to reduce short critical area • Push routes off-track by ½ pitch • Will not push “frozen” nets ❑ Widen wires to reduce open critical area Wire Tracks Spreading off-track Widening
  • 12. Problem: Metal Erosion 3/6/2024 © Ahmed Abdelazeem. All rights reserved 12 ❑ The wafer is made flat (planarized) by a process called Chemical Mechanical Polishing (CMP) ❑ Metals are mechanically softer than dielectrics: • CMP leaves metal tops with a concave shape - dishing • The wider the metal the more pronounced the dishing • Wide traces with little intervening dielectric and can become quite thin – dishing this severe is called erosion ❑ Process rules specify maximum metal density per layer to minimize erosion
  • 13. Problem: Metal Liftoff 3/6/2024 © Ahmed Abdelazeem. All rights reserved 13 ❑ Conductors and Dielectrics have different coefficients of thermal expansion: • Stress builds up with temperature cycling • Metals can delaminate (lift off) with time • Wide metal traces are more vulnerable than narrow ones ❑ Maximum metal density rules also address this issue Dielectric Metal thermal expansion Dielectric thermal expansion Metal
  • 14. Solution: Metal Slotting 3/6/2024 © Ahmed Abdelazeem. All rights reserved 14 ❑ Slotting wide wires reduces the metal density ❑ Slots minimize stress buildup, reducing liftoff tendency ❑ Primarily used on Power and Ground traces: • Can apply to any other net if wide enough ❑ Slotting parameters can be set layer by layer OpenSlot SideSpace EndSpace Length SideClearance Width
  • 15. Problem: Metal Over-Etching 3/6/2024 © Ahmed Abdelazeem. All rights reserved 15 ❑ A narrow metal wire separated from other metal receives a higher density of etchant than closely spaced wires ❑ The narrow metal can get over-etched ❑ Minimum metal density rules are used to control this Plasma Etchant etches away un-protected metal Less etchant per um2 of metal Over-etching due to high etchant density
  • 16. Solution: Metal Fill 3/6/2024 © Ahmed Abdelazeem. All rights reserved 16 ❑ Fills empty tracks with metal shapes to meet the minimum metal density rules ❑ Uses up most of the remaining routing resource: • No further routing or antenna fixes can be done
  • 17. Final Validation 3/6/2024 © Ahmed Abdelazeem. All rights reserved 17 From DFM steps Write .spef file Generate a PARA view Generate output netlist PrimeTime IC Validator Signoff STA Signoff DRC & LVS Output GDS2 Prove logical equivalence after ICC II optimizations Timing engine ICC II Formality
  • 18. Final Validation: Netlist Output ❑ Netlists for STA (Static Timing Analysis) do not require output of “Physical only cells” like: • Corner Pad Cells • Pad/ Core Filler Cells • Unconnected Cell instances • Deselect unneeded instances in the write_verilog form ❑ Unconnected cell instances (spare cells) are needed for LVS ❑ By default, the top-level netlist generated from ICC II is flat 3/6/2024 © Ahmed Abdelazeem. All rights reserved 18 What if you need a hierarchical netlist?
  • 19. Final Validation: GDS2 Output ❑ The GDS2 for external Physical verification can be generated from ICC II ❑ Require output of “Physical only cells” like: • Corner Pad Cells • Pad/ Core Filler Cells • Unconnected Cell instances 3/6/2024 © Ahmed Abdelazeem. All rights reserved 19
  • 20. That’s all Folks! 3/6/2024 © Ahmed Abdelazeem. All rights reserved 20