SlideShare a Scribd company logo
1 of 28
Download to read offline
Signal Integrity and Crosstalk effect
Errors Can Break Your ASIC!
Ahmed Abdelazeem
Faculty of Engineering
Zagazig University
RTL2GDSII Flow, February 2022
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 1 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 2 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 3 / 28
Introduction
According to the research conducted by Collett International Research
Inc., in the year 2000, one in five chips fail because of the signal integrity
issue as illustrated in Figure
This is really a significant effect on the yield of the chip production
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 4 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 5 / 28
What is Crosstalk?
Crosstalk is the transfer of a voltage transition from one switching net
(aggressor) to another static or switching net (victim) through a coupling
capacitance (Cc)
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 6 / 28
Crosstalk mechanism
Crosstalk is a very severe effect especially in lower technology node and
high-speed circuits and it could be one of the main reason of chip failure.
Crosstalk mechanism
Crosstalk occurs via two mechanisms:
Inductive Crosstalk
Electrostatic crosstalk
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 7 / 28
Inductive Crosstalk
Inductive crosstalk occurs due to mutual inductance between two
nets.
A varying current in a net creates a varying magnetic field around the
net.
A varying magnetic field can either radiate energy by launching radio
frequency waves or it can couple to adjacent nets.
Such coupling of the magnetic field is called inductive crosstalk.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 8 / 28
Electrostatic crosstalk
Electrostatic crosstalk occurs due to mutual capacitance between two
nets.
The electric voltage in a net creates an electric field around it.
If the electric field is changing, It can either radiate the Radio waves
or can couple capacitively to the adjacent net.
Such coupling of the electric field is called electrostatic crosstalk
Remark
Electrostatic Crosstalk mechanism is more significant and problematic
than Inductive crosstalk. So, we will talk about Electrostatic crosstalk.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 9 / 28
Parasitic capacitances related to Interconnects
The main reason of crosstalk is the capacitance between the interconnects.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 10 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 11 / 28
introduction
Crosstalk has two major effects
Crosstalk glitch or crosstalk noise
Crosstalk delta delay or crosstalk delay
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 12 / 28
Crosstalk-Induced Noise (aka Glitches)
Aggressor nets can create crosstalk-induced noise on static victim nets,
also called “static noise”
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 13 / 28
Glitches types
Crosstalk glitch can be classified as below
Glitches types
Raising Glitch
Failing Glitch
Overshoot Glitch
Undershoot Glitch
Rise glitch: Raising aggressor net induces a rise glitch on a steady
low
Fall glitch: Falling aggressor net induces a fall glitch on a steady high
Overshoot glitch: Raising aggressor net induces overshoot glitch on
a steady high This takes the victim net voltage above its steady high
value.
Undershoot glitch: Falling aggressor net induces an undershoot
glitch on a steady low This takes the victim net voltage below its
steady low value.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 14 / 28
Rise glitch
In this case, the aggressor net switches from logic 0 to logic 1 and the
victim net is at constant zero as shown in the figure.
As node A start switching from low to high, a potential difference
across the mutual capacitance gets developed and the mutual
capacitor Cm starts charging.
During this event, there is some leakage current which starts flowing
from node A to node V through the mutual capacitance Cm due to
the leaky nature of mutual capacitance.
This leakage current will raise the potential of node V, which creates
a raising spike or raising glitch on the victim net as shown in figure.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 15 / 28
Fall glitch
In this case, the aggressor net switches from logic 1 to logic 0 and the
victim net is at constant high as shown in the figure.
As node A start switching from low to high, a potential difference
across the mutual capacitance gets developed and the mutual
capacitor Cm starts charging.
During this event, there is some leakage current which starts flowing
from node A to node V through the mutual capacitance Cm due to
the leaky nature of mutual capacitance.
This leakage current will drop the potential of node V, which creates
a falling spike or falling glitch on the victim net as shown in figure
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 16 / 28
Effects of crosstalk glitch
Does every glitch unsafe?
The answer is it depends on the height of the glitch and the logical
connection of the victim net.
If the height of the glitch is within the noise margin low (NML), Such
a glitch is considered a safe glitch.
If the glitch height is above the noise margin high (NMH), such a
glitch is considered a potentially unsafe glitch.
In the case of a glitch, height is in between NMH and NML, this is an
unpredictable case.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 17 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 18 / 28
Crosstalk-Induced Delay
Aggressor/victim nets with overlapping timing windows can cause
“crosstalk-induced delay” on victim nets.
This can lead to a speed-up or a slow-down of the victim net
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 19 / 28
Crosstalk Delay
Crosstalk Delay can be classified as below
Crosstalk Delay types
Negative crosstalk delay
Positive crosstalk delay
Notes
Crosstalk delay may cause setup and hold timing violation.
Crosstalk could either increase or decrease the delay of a cell
depending upon the switching direction of aggressor and victim nets.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 20 / 28
Positive crosstalk delay
Let’s consider aggressor net switches from low to high logic and
victim net switches from high to low (opposite). as shown in figure.
As node A starts to transition from low to high at the same time,
node V starts switching from high to low.
There is a coupling capacitance between A and V so the aggressor
node will try to pull up the victim node.
This will affect the smooth transition of the victim node from high to
low and will have a bump after half of the transition and this will
result in an increase in the transition time of the victim net.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 21 / 28
Negative crosstalk delay
Let’s consider the aggressor net switches from low to high logic and
the victim net also switches from low to high (same direction). as
shown in the figure.
As node A starts to transition from low to high at the same time,
node V also starts switching from low to high.
There is a coupling capacitance between A and V so the aggressor
node will try to fast pull up the victim node.
This will affect the smooth transition of the victim node from low to
high and will have a bump after half of the transition and this will
result in a decrease in the transition time of the victim net.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 22 / 28
Effect on setup and hold timing
Crosstalk delay can violate the setup timing. Figure, shows the data path,
launch clock path and capture clock path.
For setup timing, data should reach the capture flop before the
required time of capture flop.
if there is an increase of delay in the data path or launch clock path it
may cause a setup violation.
Setup violation may also happen if there is a decrease in delay on the
capture clock path.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 23 / 28
Effect on setup and hold timing
Crosstalk delay can violate the hold timing. Figure, explains the situations
where the hold time could violate due to crosstalk delay.
If there is a decrease in the delay of any cells in the data path and
launch clock or there is an increase of delay of cells in the capture
clock path due to crosstalk delay, It may result in the hold timing
violation. ecrease in delay on the capture clock path.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 24 / 28
Table of Contents
1 Signal Integrity
2 Crosstalk
3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk
4 Crosstalk-Induced Delay
5 Crosstalk prevention techniques
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 25 / 28
prevention techniques
Increase the spacing between aggressor and victim net
ncreasing the spacing between aggressor and victim net we are ultimately
reducing the coupling capacitance between them as the capacitance is
inversely proportional to the distance between them. So by increasing the
spacing crosstalk will decrease.
Shielding of nets
By shielding a net the two things will happen, one is the direct coupling
capacitance between the aggressor and victim net will vanish and secondly
the shielding net will remain at a constant logic so there are no chances of
crosstalk.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 26 / 28
prevention techniques
Upsizing the victim cell
If we increase the drive strength of the victim cell it will not be easy to
affect by the aggressor net
Downsize the aggressor cell
Higher the drive strength of aggressor cell, higher is the impact of
crosstalk on the victim. So by reducing the drive strength we can reduce
the crosstalk effect.
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 27 / 28
Wrap up
ÕækQË@ áÔgQË@ éÊË@ Õæ„.
C

JĂŠ
ÂŻ B

@ Ă•

ĂŽÂŞ

Ë@ áÓ Õ
æJKð@ AÓð
Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 28 / 28

More Related Content

What's hot

Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptxAhmed Abdelazeem
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilationRajesh M
 

What's hot (20)

PowerPlanning.pdf
PowerPlanning.pdfPowerPlanning.pdf
PowerPlanning.pdf
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Physical design
Physical design Physical design
Physical design
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Physical design
Physical design Physical design
Physical design
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 

Similar to Crosstalk.pdf

Short circuit test in brief
Short circuit test in briefShort circuit test in brief
Short circuit test in briefMuthar Hussain
 
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIP
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIPA BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIP
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIPVLSICS Design
 
Electrical Ground Rules Part 2
Electrical Ground Rules Part 2Electrical Ground Rules Part 2
Electrical Ground Rules Part 2Flow-Tech, Inc.
 
Electrical Grounding Practices- Part 2 of 3
Electrical Grounding Practices- Part 2 of 3Electrical Grounding Practices- Part 2 of 3
Electrical Grounding Practices- Part 2 of 3M.S. Jacobs & Associates
 
Lightning concepts iii
Lightning concepts iiiLightning concepts iii
Lightning concepts iiiILDTECH
 
Latchup latchup
Latchup latchupLatchup latchup
Latchup latchupchenna_kesava
 
Alternator protection
Alternator protectionAlternator protection
Alternator protectionjawaharramaya
 
Transient overvoltages and currents: lightning surges
Transient overvoltages and currents: lightning surgesTransient overvoltages and currents: lightning surges
Transient overvoltages and currents: lightning surgesBruno De Wachter
 
Three-phase-to-ground fault and its impact on voltage magnitude
Three-phase-to-ground fault and its impact on voltage magnitudeThree-phase-to-ground fault and its impact on voltage magnitude
Three-phase-to-ground fault and its impact on voltage magnitudeijiert bestjournal
 
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...kevinrisi
 
LOW POWER FOLDED CASCODE OTA
LOW POWER FOLDED CASCODE OTALOW POWER FOLDED CASCODE OTA
LOW POWER FOLDED CASCODE OTAVLSICS Design
 
Area of vulnerabilty
Area of vulnerabiltyArea of vulnerabilty
Area of vulnerabiltyPrasadVJ
 
Over current protection
Over current protectionOver current protection
Over current protectionSHUBHAM KUMAR
 
Ar4301228233
Ar4301228233Ar4301228233
Ar4301228233IJERA Editor
 

Similar to Crosstalk.pdf (20)

Short circuit test in brief
Short circuit test in briefShort circuit test in brief
Short circuit test in brief
 
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIP
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIPA BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIP
A BUS ENCODING TO REDUCE CROSSTALK NOISE EFFECT IN SYSTEM ON CHIP
 
Electrical Ground Rules Part 2
Electrical Ground Rules Part 2Electrical Ground Rules Part 2
Electrical Ground Rules Part 2
 
Electrical Grounding Practices- Part 2 of 3
Electrical Grounding Practices- Part 2 of 3Electrical Grounding Practices- Part 2 of 3
Electrical Grounding Practices- Part 2 of 3
 
ECE610 IEEE
ECE610 IEEEECE610 IEEE
ECE610 IEEE
 
Lightning concepts iii
Lightning concepts iiiLightning concepts iii
Lightning concepts iii
 
Latchup latchup
Latchup latchupLatchup latchup
Latchup latchup
 
Alternator protection
Alternator protectionAlternator protection
Alternator protection
 
C231924
C231924C231924
C231924
 
Transient overvoltages and currents: lightning surges
Transient overvoltages and currents: lightning surgesTransient overvoltages and currents: lightning surges
Transient overvoltages and currents: lightning surges
 
Three-phase-to-ground fault and its impact on voltage magnitude
Three-phase-to-ground fault and its impact on voltage magnitudeThree-phase-to-ground fault and its impact on voltage magnitude
Three-phase-to-ground fault and its impact on voltage magnitude
 
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...
CN 203 - Lightning and Power Frequency Performance of MV Pole Mounted Transfo...
 
LOW POWER FOLDED CASCODE OTA
LOW POWER FOLDED CASCODE OTALOW POWER FOLDED CASCODE OTA
LOW POWER FOLDED CASCODE OTA
 
Transient Suppressors
Transient SuppressorsTransient Suppressors
Transient Suppressors
 
Substation Neutral Earthing
Substation Neutral EarthingSubstation Neutral Earthing
Substation Neutral Earthing
 
Area of vulnerabilty
Area of vulnerabiltyArea of vulnerabilty
Area of vulnerabilty
 
What's short circuit level
What's short circuit levelWhat's short circuit level
What's short circuit level
 
Over current protection
Over current protectionOver current protection
Over current protection
 
Ar4301228233
Ar4301228233Ar4301228233
Ar4301228233
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 

More from Ahmed Abdelazeem

8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdfAhmed Abdelazeem
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdfAhmed Abdelazeem
 
5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdfAhmed Abdelazeem
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?Ahmed Abdelazeem
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfAhmed Abdelazeem
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesAhmed Abdelazeem
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdfAhmed Abdelazeem
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdfAhmed Abdelazeem
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptxAhmed Abdelazeem
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 

More from Ahmed Abdelazeem (19)

10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 

Recently uploaded

(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 

Recently uploaded (20)

(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 

Crosstalk.pdf

  • 1. Signal Integrity and Crosstalk effect Errors Can Break Your ASIC! Ahmed Abdelazeem Faculty of Engineering Zagazig University RTL2GDSII Flow, February 2022 Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 1 / 28
  • 2. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 2 / 28
  • 3. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 3 / 28
  • 4. Introduction According to the research conducted by Collett International Research Inc., in the year 2000, one in five chips fail because of the signal integrity issue as illustrated in Figure This is really a significant effect on the yield of the chip production Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 4 / 28
  • 5. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 5 / 28
  • 6. What is Crosstalk? Crosstalk is the transfer of a voltage transition from one switching net (aggressor) to another static or switching net (victim) through a coupling capacitance (Cc) Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 6 / 28
  • 7. Crosstalk mechanism Crosstalk is a very severe effect especially in lower technology node and high-speed circuits and it could be one of the main reason of chip failure. Crosstalk mechanism Crosstalk occurs via two mechanisms: Inductive Crosstalk Electrostatic crosstalk Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 7 / 28
  • 8. Inductive Crosstalk Inductive crosstalk occurs due to mutual inductance between two nets. A varying current in a net creates a varying magnetic field around the net. A varying magnetic field can either radiate energy by launching radio frequency waves or it can couple to adjacent nets. Such coupling of the magnetic field is called inductive crosstalk. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 8 / 28
  • 9. Electrostatic crosstalk Electrostatic crosstalk occurs due to mutual capacitance between two nets. The electric voltage in a net creates an electric field around it. If the electric field is changing, It can either radiate the Radio waves or can couple capacitively to the adjacent net. Such coupling of the electric field is called electrostatic crosstalk Remark Electrostatic Crosstalk mechanism is more significant and problematic than Inductive crosstalk. So, we will talk about Electrostatic crosstalk. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 9 / 28
  • 10. Parasitic capacitances related to Interconnects The main reason of crosstalk is the capacitance between the interconnects. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 10 / 28
  • 11. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 11 / 28
  • 12. introduction Crosstalk has two major effects Crosstalk glitch or crosstalk noise Crosstalk delta delay or crosstalk delay Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 12 / 28
  • 13. Crosstalk-Induced Noise (aka Glitches) Aggressor nets can create crosstalk-induced noise on static victim nets, also called “static noise” Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 13 / 28
  • 14. Glitches types Crosstalk glitch can be classified as below Glitches types Raising Glitch Failing Glitch Overshoot Glitch Undershoot Glitch Rise glitch: Raising aggressor net induces a rise glitch on a steady low Fall glitch: Falling aggressor net induces a fall glitch on a steady high Overshoot glitch: Raising aggressor net induces overshoot glitch on a steady high This takes the victim net voltage above its steady high value. Undershoot glitch: Falling aggressor net induces an undershoot glitch on a steady low This takes the victim net voltage below its steady low value. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 14 / 28
  • 15. Rise glitch In this case, the aggressor net switches from logic 0 to logic 1 and the victim net is at constant zero as shown in the figure. As node A start switching from low to high, a potential difference across the mutual capacitance gets developed and the mutual capacitor Cm starts charging. During this event, there is some leakage current which starts flowing from node A to node V through the mutual capacitance Cm due to the leaky nature of mutual capacitance. This leakage current will raise the potential of node V, which creates a raising spike or raising glitch on the victim net as shown in figure. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 15 / 28
  • 16. Fall glitch In this case, the aggressor net switches from logic 1 to logic 0 and the victim net is at constant high as shown in the figure. As node A start switching from low to high, a potential difference across the mutual capacitance gets developed and the mutual capacitor Cm starts charging. During this event, there is some leakage current which starts flowing from node A to node V through the mutual capacitance Cm due to the leaky nature of mutual capacitance. This leakage current will drop the potential of node V, which creates a falling spike or falling glitch on the victim net as shown in figure Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 16 / 28
  • 17. Effects of crosstalk glitch Does every glitch unsafe? The answer is it depends on the height of the glitch and the logical connection of the victim net. If the height of the glitch is within the noise margin low (NML), Such a glitch is considered a safe glitch. If the glitch height is above the noise margin high (NMH), such a glitch is considered a potentially unsafe glitch. In the case of a glitch, height is in between NMH and NML, this is an unpredictable case. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 17 / 28
  • 18. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 18 / 28
  • 19. Crosstalk-Induced Delay Aggressor/victim nets with overlapping timing windows can cause “crosstalk-induced delay” on victim nets. This can lead to a speed-up or a slow-down of the victim net Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 19 / 28
  • 20. Crosstalk Delay Crosstalk Delay can be classified as below Crosstalk Delay types Negative crosstalk delay Positive crosstalk delay Notes Crosstalk delay may cause setup and hold timing violation. Crosstalk could either increase or decrease the delay of a cell depending upon the switching direction of aggressor and victim nets. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 20 / 28
  • 21. Positive crosstalk delay Let’s consider aggressor net switches from low to high logic and victim net switches from high to low (opposite). as shown in figure. As node A starts to transition from low to high at the same time, node V starts switching from high to low. There is a coupling capacitance between A and V so the aggressor node will try to pull up the victim node. This will affect the smooth transition of the victim node from high to low and will have a bump after half of the transition and this will result in an increase in the transition time of the victim net. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 21 / 28
  • 22. Negative crosstalk delay Let’s consider the aggressor net switches from low to high logic and the victim net also switches from low to high (same direction). as shown in the figure. As node A starts to transition from low to high at the same time, node V also starts switching from low to high. There is a coupling capacitance between A and V so the aggressor node will try to fast pull up the victim node. This will affect the smooth transition of the victim node from low to high and will have a bump after half of the transition and this will result in a decrease in the transition time of the victim net. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 22 / 28
  • 23. Effect on setup and hold timing Crosstalk delay can violate the setup timing. Figure, shows the data path, launch clock path and capture clock path. For setup timing, data should reach the capture flop before the required time of capture flop. if there is an increase of delay in the data path or launch clock path it may cause a setup violation. Setup violation may also happen if there is a decrease in delay on the capture clock path. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 23 / 28
  • 24. Effect on setup and hold timing Crosstalk delay can violate the hold timing. Figure, explains the situations where the hold time could violate due to crosstalk delay. If there is a decrease in the delay of any cells in the data path and launch clock or there is an increase of delay of cells in the capture clock path due to crosstalk delay, It may result in the hold timing violation. ecrease in delay on the capture clock path. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 24 / 28
  • 25. Table of Contents 1 Signal Integrity 2 Crosstalk 3 Crosstalk Noise and Crosstalk Delay – Effects of Crosstalk 4 Crosstalk-Induced Delay 5 Crosstalk prevention techniques Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 25 / 28
  • 26. prevention techniques Increase the spacing between aggressor and victim net ncreasing the spacing between aggressor and victim net we are ultimately reducing the coupling capacitance between them as the capacitance is inversely proportional to the distance between them. So by increasing the spacing crosstalk will decrease. Shielding of nets By shielding a net the two things will happen, one is the direct coupling capacitance between the aggressor and victim net will vanish and secondly the shielding net will remain at a constant logic so there are no chances of crosstalk. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 26 / 28
  • 27. prevention techniques Upsizing the victim cell If we increase the drive strength of the victim cell it will not be easy to affect by the aggressor net Downsize the aggressor cell Higher the drive strength of aggressor cell, higher is the impact of crosstalk on the victim. So by reducing the drive strength we can reduce the crosstalk effect. Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 27 / 28
  • 28. Wrap up ÕækQĂ‹@ áÔgQĂ‹@ Ă©ĂŠĂ‹@ Õæ„. C JĂŠ ÂŻ B @ Ă• ĂŽÂŞ Ă‹@ áÓ Ă• æJKĂ°@ AĂ“Ă° Ahmed Abdelazeem (ZU) Crosstalk ZU 2023 28 / 28