SlideShare a Scribd company logo
1 of 31
ISQED 2002 (C) Monterey
ISQED 2002
Olivier Coudert
Monterey Design System
Timing and Design Closure in
Physical Design Flows
ISQED 2002 (C) Monterey
Summary
Why a Need for Physical
Flows?
Some Physical Flows
A refinement based Physical
Flow
Conclusion
ISQED 2002 (C) Monterey
Design Flow
Physical
Flow
RTL
Behavioral spec.
Behavioral
synthesis
Logic
synthesis
Layout
Gate level
netlist
while (x<a) do
x1:= x + dx;
u1:= u - (3*x*u*dx) - (3*y*dx);
y1:= y + (u*dx);
x:= x1; u:= u1; y:= y1;
endwhile
RC: = ALU 1(RX, a, comp);
wait until clock AND RC;
RX1 := ALU1 (RX, RDX, ADD);
RT1 := MULT1(RU, RX);
RT2 := MULT 2(3, RDX);
wait until clock;
RT3 := MULT1(RT1, RT2);
RT4:= MULT2(RT2, RY);
ISQED 2002 (C) Monterey
Pre DSM Physical Flow
Clock
Global place
Global route
Layout
Gate level
netlist
Detailed
place
Detailed
route
ISQED 2002 (C) Monterey
Timing & Interconnect
 Wireload models were ALWAYS inaccurate
 Good average but large variance
 Post-synthesis signoff was possible when
interconnect contributed ~20% of the total
capacitance
 But now the interconnect capacitance is
dominating the total capacitance with each
new process generation
 Elmore delay model becomes inaccurate as
resistance increases
ISQED 2002 (C) Monterey
Gate vs. Net in Optimal Delay
0
0.2
0.4
0.6
0.8
1
1.2
0.5x 1.0x 2.0x 3.0x 4.0x 6.0x 8.0x 9.0x
Relative Driver Size
gatedelay/totaldelay
0.25 um
0.18 um
optimal
delay
point
ISQED 2002 (C) Monterey
 Dominant coupling capacitance can produce a noise problem
 Or a delay problem
Noise and Delay Coupling Effects
Switching
Noise Sensitive
CC
CL
increased delayCC
CL
ISQED 2002 (C) Monterey
 Decrease in supply voltage at the gates
 Due to current flow through the power resistive
network
 Effects of IR drop on circuit performance
IR drop
IR drop delay
0 V 0.114 ns
0.15 V 0.126 ns (+10%)
0.3 V 0.143 ns (+25%)
0.5 V 0.184 ns (+61%)
input
1.4
1.2
1.0
0.8
0.6
0.4
0.2
0.0
ISQED 2002 (C) Monterey
Electromigration & Self Heating
 Metal interconnect
disintegration due to high
current density
 Can occur for power
network and also
signal nets
 Important DSM effect
 Higher current
densities due to
increased currents and
finer wire
widths/thicknesses
 Faster switching is
increasing the di/dt’s
ISQED 2002 (C) Monterey
Signal Integrity
 Xtalk
 Can produce last minute timing problems at
DR
 IR-drop
 Can invalidate P/G routing
 Design rules, electromigration
 Make DR more difficult
 Inductance
 Need new analysis tools and avoidance
techniques
ISQED 2002 (C) Monterey
Physical Flow
 Take a gate-level netlist and a library
 Take constraints (place, route, timing, power,
design rules, etc)
 Produce production worthy layout
 Meet timing
 P/G and clock
 Satisfy design rules
 Signal integrity aware (xtalk, IR-drop, EM)
 Predictable
 Fast TAT
ISQED 2002 (C) Monterey
Summary
Why a Need for Physical
Flows?
Some Physical Flows
A refinement based Physical
Flow
Conclusion
ISQED 2002 (C) Monterey
Block Based Flow
netlist
ISQED 2002 (C) Monterey
Block Based Flow
 Procedure:
 Partition the design in small blocks (~50k
gates)
 Implement each block
 Assemble the blocks
 Assumptions:
 Shield timing from the interconnect because:
 small blocks
 strong drivers
 Interconnect becomes a local property of a
block
 Budgeting can be done on every blocks
 Benefit:

ISQED 2002 (C) Monterey
Block Based Flow
 Problem:
 Strong driver leads to suboptimal solutions
 Interconnect is NOT a local property of a
block because of congestion
 Does not capture large nets interconnecting
several blocks
 Budgeting is non-trivial, and can lead to
suboptimal solutions
 Assembly is complex if conditions at the
boundaries of the blocks (capacitance &
driver strength) is not fixed
ISQED 2002 (C) Monterey
Constant Delay Based Flow
 Procedure:
 Allocate delays on logical stage
 Translate the delays into gains (Co/Ci)
 Keep the gains constant as the gates are
placed
 Assumptions:
 Delays is a linear function of the gain
 Convex libraries
 Benefit:
 Fix timing upfront
 Fast
ISQED 2002 (C) Monterey
Constant Delay Based Flow
 Problem:
 Gain cannot be preserved, needs buffer
insertion
 Consequently, allocation need to be revisited
 Non-convex libraries
 Mapping onto discrete libraries
 Still will need DR information, e.g., for Xtalk
effect
ISQED 2002 (C) Monterey
Summary
Why a Need for Physical
Flows?
Some Physical Flows
A refinement based Physical
Flow
Conclusion
ISQED 2002 (C) Monterey
 One cannot optimize what one cannot measure
accurately enough
 Data is measured with a distribution (x, σ)
 Need to know σ --noise
 Need to know how the optimization affect the
distribution --correlation
Principle
ISQED 2002 (C) Monterey
Reduce the Spread
0
50
100
150
200
250
300
0
0.15
0.3
0.46
0.61
0.76
0.91
1.06
1.22
1.37
1.52
1.67
Normalized Wire-length ProfileNumberofnets
ISQED 2002 (C) Monterey
Reduce the Spread
0
50
100
150
200
250
300
350
400
0
0.17
0.34
0.51
0.68
0.85
1.01
1.18
1.35
1.52
1.69
Normalized Wire-length ProfileNumberofnets
ISQED 2002 (C) Monterey
Reduce the Spread
0
50
100
150
200
250
300
350
400
0
0.17
0.34
0.5
0.67
0.84
1.01
1.18
1.34
1.51
1.68
Normalized Wire-length ProfileNumberofnets
ISQED 2002 (C) Monterey
Physical prototype
 Earliest stage of the design when interconnect
is predictable
 Physical logic optimization can start at this
level only
 Timing signoff can be done at this level only
ISQED 2002 (C) Monterey
Physical Logic Optimization
 Load and driver strength adaptation
 Place
 Sizing
 Buffering
 Pin swapping
 Cloning
 Timing boundary shifting
 Transparent latch
 Retiming
 Useful skew
 Area/Power recovery
 Technology remapping
 Re-synthesis
 Redundancies based optimization
ISQED 2002 (C) Monterey
How Different Is Phy. Logic Opt.?
 Need to work with accurate models
 timing, power, design rules aware, etc
 mostly non-convex
 often CPU time costly
 Need to place gates
 tight communication with placer
 Need to generate routes
 New techniques
 size & buffer & route & place
 resynthesize & remap & place
 logic optimization for congestion relief
ISQED 2002 (C) Monterey
Placement/Synthesis/Routing
 The flexibility of the placement and the
continuous refinement allows logic optimization
to continue throughout the flow
 Continual monitoring of “what is critical”
 From extensive to local logic optimization
ISQED 2002 (C) Monterey
Clock Distribution
 Clock tree is created at the physical
prototyping level
 Distribution of latches and flip-flops is known
 A complete buffered/gated clock tree is
automatically synthesized
 Congestion and skew accounted for
ISQED 2002 (C) Monterey
Power/Ground Distribution
 P/G network built at the physical prototype
level
 Built from user-provided power stripe/ring
rules
 P/G network can have a huge impact on
congestion
 Can judge the quality and integrity of the
power/ground network (IR drop)
ISQED 2002 (C) Monterey
Summary
Why a Need for Physical
Flows?
Some Physical Flows
A refinement based Physical
Flow
Conclusion
ISQED 2002 (C) Monterey
Conclusion
 Physical flows must consider logic, place, and
route simultaneously
 Physical flows need new solutions:
 Logic synthesis & placement interaction
 Synthesize logic & route at the same time
 Early estimation of xtalk so that GR can
allocate routing resources to DR
 Logic optimization for congestion relief, for SI
 …
ISQED 2002 (C) Monterey
The future
 Possible flow:
 Fast behavioral synthesis together with
floorplanning
 Evaluate area/performance tradeoff
 Timing driven block & port placement
 Evaluate top level routing of P/G integrity
 Budgeting
 Clock methodology
 Fast RTL to gate synthesis of blocks
 Physical synthesis of block:
 Logic optimization + placement + routing
 Block assembly & chip verification

More Related Content

What's hot

Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 

What's hot (20)

STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Physical design
Physical design Physical design
Physical design
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 

Similar to Timing and Design Closure in Physical Design Flows

Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS .
Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS.Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS.
Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS .SSP Innovations
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...CatalinGabrielDincan
 
Provisioning Bandwidth and Logical Circuits With Fiber Manager
Provisioning Bandwidth and Logical Circuits With Fiber ManagerProvisioning Bandwidth and Logical Circuits With Fiber Manager
Provisioning Bandwidth and Logical Circuits With Fiber ManagerSSP Innovations
 
Nesic company profile 042020
Nesic company profile 042020Nesic company profile 042020
Nesic company profile 042020Narongsak Onampai
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Editor IJMTER
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdfFrangoCamila
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)aicdesign
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfVoThanhPhong3
 
4 U 5 Slides With Notes
4 U 5 Slides With Notes4 U 5 Slides With Notes
4 U 5 Slides With Notesrameraja
 
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber Manager
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber ManagerLink2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber Manager
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber ManagerSSP Innovations
 
Evaluation of Precision Time Synchronisation Methods for Substation Applications
Evaluation of Precision Time Synchronisation Methods for Substation ApplicationsEvaluation of Precision Time Synchronisation Methods for Substation Applications
Evaluation of Precision Time Synchronisation Methods for Substation ApplicationsDavid Ingram
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic designMahesh Dananjaya
 

Similar to Timing and Design Closure in Physical Design Flows (20)

ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS .
Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS.Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS.
Provisioning Bandwidth & Logical Circuits Using Telecom-Based GIS .
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
Vsync track c
Vsync   track cVsync   track c
Vsync track c
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 
Provisioning Bandwidth and Logical Circuits With Fiber Manager
Provisioning Bandwidth and Logical Circuits With Fiber ManagerProvisioning Bandwidth and Logical Circuits With Fiber Manager
Provisioning Bandwidth and Logical Circuits With Fiber Manager
 
Nesic company profile 042020
Nesic company profile 042020Nesic company profile 042020
Nesic company profile 042020
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
 
Thesis
ThesisThesis
Thesis
 
Thesis
ThesisThesis
Thesis
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdf
 
Lect2 up010 (100324)
Lect2 up010 (100324)Lect2 up010 (100324)
Lect2 up010 (100324)
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
4 U 5 Slides With Notes
4 U 5 Slides With Notes4 U 5 Slides With Notes
4 U 5 Slides With Notes
 
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber Manager
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber ManagerLink2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber Manager
Link2015 Tri-State Provisioning Bandwidth & Logical Circuits with Fiber Manager
 
Evaluation of Precision Time Synchronisation Methods for Substation Applications
Evaluation of Precision Time Synchronisation Methods for Substation ApplicationsEvaluation of Precision Time Synchronisation Methods for Substation Applications
Evaluation of Precision Time Synchronisation Methods for Substation Applications
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 

More from Olivier Coudert

Exact coloring of real-life graphs is easy
Exact coloring of real-life graphs is easyExact coloring of real-life graphs is easy
Exact coloring of real-life graphs is easyOlivier Coudert
 
A Performance Study of BDD-Based Model Checking
A Performance Study of BDD-Based Model CheckingA Performance Study of BDD-Based Model Checking
A Performance Study of BDD-Based Model CheckingOlivier Coudert
 
On Solving Covering Problems
On Solving Covering ProblemsOn Solving Covering Problems
On Solving Covering ProblemsOlivier Coudert
 
An Efficient Algorithm to Verify Generalized False Paths
An Efficient Algorithm to Verify Generalized False PathsAn Efficient Algorithm to Verify Generalized False Paths
An Efficient Algorithm to Verify Generalized False PathsOlivier Coudert
 
Model checking in the cloud
Model checking in the cloudModel checking in the cloud
Model checking in the cloudOlivier Coudert
 
Chip design and cloud computing
Chip design and cloud computingChip design and cloud computing
Chip design and cloud computingOlivier Coudert
 

More from Olivier Coudert (6)

Exact coloring of real-life graphs is easy
Exact coloring of real-life graphs is easyExact coloring of real-life graphs is easy
Exact coloring of real-life graphs is easy
 
A Performance Study of BDD-Based Model Checking
A Performance Study of BDD-Based Model CheckingA Performance Study of BDD-Based Model Checking
A Performance Study of BDD-Based Model Checking
 
On Solving Covering Problems
On Solving Covering ProblemsOn Solving Covering Problems
On Solving Covering Problems
 
An Efficient Algorithm to Verify Generalized False Paths
An Efficient Algorithm to Verify Generalized False PathsAn Efficient Algorithm to Verify Generalized False Paths
An Efficient Algorithm to Verify Generalized False Paths
 
Model checking in the cloud
Model checking in the cloudModel checking in the cloud
Model checking in the cloud
 
Chip design and cloud computing
Chip design and cloud computingChip design and cloud computing
Chip design and cloud computing
 

Recently uploaded

the cOMPUTER SYSTEM - computer hardware servicing.pptx
the cOMPUTER SYSTEM - computer hardware servicing.pptxthe cOMPUTER SYSTEM - computer hardware servicing.pptx
the cOMPUTER SYSTEM - computer hardware servicing.pptxLeaMaePahinagGarciaV
 
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Book
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Bookvip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Book
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Bookmanojkuma9823
 
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCR
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCRReal Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCR
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCRdollysharma2066
 
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...nagunakhan
 
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...Amil baba
 
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...Amil baba
 
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degreeyuu sss
 
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degreeyuu sss
 
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...srsj9000
 
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls in Delhi
 
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作f3774p8b
 
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一ss ss
 
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证gwhohjj
 
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsapps
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /WhatsappsBeautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsapps
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsappssapnasaifi408
 
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一diploma 1
 
RBS学位证,鹿特丹商学院毕业证书1:1制作
RBS学位证,鹿特丹商学院毕业证书1:1制作RBS学位证,鹿特丹商学院毕业证书1:1制作
RBS学位证,鹿特丹商学院毕业证书1:1制作f3774p8b
 
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一定制(UI学位证)爱达荷大学毕业证成绩单原版一比一
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一ss ss
 
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝soniya singh
 
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一(办理学位证)韩国汉阳大学毕业证成绩单原版一比一
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一C SSS
 

Recently uploaded (20)

the cOMPUTER SYSTEM - computer hardware servicing.pptx
the cOMPUTER SYSTEM - computer hardware servicing.pptxthe cOMPUTER SYSTEM - computer hardware servicing.pptx
the cOMPUTER SYSTEM - computer hardware servicing.pptx
 
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Book
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Bookvip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Book
vip Krishna Nagar Call Girls 9999965857 Call or WhatsApp Now Book
 
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCR
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCRReal Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCR
Real Sure (Call Girl) in I.G.I. Airport 8377087607 Hot Call Girls In Delhi NCR
 
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...
Slim Call Girls Service Badshah Nagar * 9548273370 Naughty Call Girls Service...
 
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...
NO1 WorldWide kala jadu Love Marriage Black Magic Punjab Powerful Black Magic...
 
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...
NO1 Qualified Best Black Magic Specialist Near Me Spiritual Healer Powerful L...
 
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree
1:1原版定制美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实留信入库#永久存档#真实可查#diploma#degree
 
CIVIL ENGINEERING
CIVIL ENGINEERINGCIVIL ENGINEERING
CIVIL ENGINEERING
 
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree
专业一比一美国加州州立大学东湾分校毕业证成绩单pdf电子版制作修改#真实工艺展示#真实防伪#diploma#degree
 
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
 
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
 
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作
Erfurt FH学位证,埃尔福特应用技术大学毕业证书1:1制作
 
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
 
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
 
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsapps
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /WhatsappsBeautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsapps
Beautiful Sapna Call Girls CP 9711199012 ☎ Call /Whatsapps
 
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一
办理(CSU毕业证书)澳洲查理斯特大学毕业证成绩单原版一比一
 
RBS学位证,鹿特丹商学院毕业证书1:1制作
RBS学位证,鹿特丹商学院毕业证书1:1制作RBS学位证,鹿特丹商学院毕业证书1:1制作
RBS学位证,鹿特丹商学院毕业证书1:1制作
 
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一定制(UI学位证)爱达荷大学毕业证成绩单原版一比一
定制(UI学位证)爱达荷大学毕业证成绩单原版一比一
 
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝
Call Girls in Dwarka Sub City 💯Call Us 🔝8264348440🔝
 
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一(办理学位证)韩国汉阳大学毕业证成绩单原版一比一
(办理学位证)韩国汉阳大学毕业证成绩单原版一比一
 

Timing and Design Closure in Physical Design Flows

  • 1. ISQED 2002 (C) Monterey ISQED 2002 Olivier Coudert Monterey Design System Timing and Design Closure in Physical Design Flows
  • 2. ISQED 2002 (C) Monterey Summary Why a Need for Physical Flows? Some Physical Flows A refinement based Physical Flow Conclusion
  • 3. ISQED 2002 (C) Monterey Design Flow Physical Flow RTL Behavioral spec. Behavioral synthesis Logic synthesis Layout Gate level netlist while (x<a) do x1:= x + dx; u1:= u - (3*x*u*dx) - (3*y*dx); y1:= y + (u*dx); x:= x1; u:= u1; y:= y1; endwhile RC: = ALU 1(RX, a, comp); wait until clock AND RC; RX1 := ALU1 (RX, RDX, ADD); RT1 := MULT1(RU, RX); RT2 := MULT 2(3, RDX); wait until clock; RT3 := MULT1(RT1, RT2); RT4:= MULT2(RT2, RY);
  • 4. ISQED 2002 (C) Monterey Pre DSM Physical Flow Clock Global place Global route Layout Gate level netlist Detailed place Detailed route
  • 5. ISQED 2002 (C) Monterey Timing & Interconnect  Wireload models were ALWAYS inaccurate  Good average but large variance  Post-synthesis signoff was possible when interconnect contributed ~20% of the total capacitance  But now the interconnect capacitance is dominating the total capacitance with each new process generation  Elmore delay model becomes inaccurate as resistance increases
  • 6. ISQED 2002 (C) Monterey Gate vs. Net in Optimal Delay 0 0.2 0.4 0.6 0.8 1 1.2 0.5x 1.0x 2.0x 3.0x 4.0x 6.0x 8.0x 9.0x Relative Driver Size gatedelay/totaldelay 0.25 um 0.18 um optimal delay point
  • 7. ISQED 2002 (C) Monterey  Dominant coupling capacitance can produce a noise problem  Or a delay problem Noise and Delay Coupling Effects Switching Noise Sensitive CC CL increased delayCC CL
  • 8. ISQED 2002 (C) Monterey  Decrease in supply voltage at the gates  Due to current flow through the power resistive network  Effects of IR drop on circuit performance IR drop IR drop delay 0 V 0.114 ns 0.15 V 0.126 ns (+10%) 0.3 V 0.143 ns (+25%) 0.5 V 0.184 ns (+61%) input 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0
  • 9. ISQED 2002 (C) Monterey Electromigration & Self Heating  Metal interconnect disintegration due to high current density  Can occur for power network and also signal nets  Important DSM effect  Higher current densities due to increased currents and finer wire widths/thicknesses  Faster switching is increasing the di/dt’s
  • 10. ISQED 2002 (C) Monterey Signal Integrity  Xtalk  Can produce last minute timing problems at DR  IR-drop  Can invalidate P/G routing  Design rules, electromigration  Make DR more difficult  Inductance  Need new analysis tools and avoidance techniques
  • 11. ISQED 2002 (C) Monterey Physical Flow  Take a gate-level netlist and a library  Take constraints (place, route, timing, power, design rules, etc)  Produce production worthy layout  Meet timing  P/G and clock  Satisfy design rules  Signal integrity aware (xtalk, IR-drop, EM)  Predictable  Fast TAT
  • 12. ISQED 2002 (C) Monterey Summary Why a Need for Physical Flows? Some Physical Flows A refinement based Physical Flow Conclusion
  • 13. ISQED 2002 (C) Monterey Block Based Flow netlist
  • 14. ISQED 2002 (C) Monterey Block Based Flow  Procedure:  Partition the design in small blocks (~50k gates)  Implement each block  Assemble the blocks  Assumptions:  Shield timing from the interconnect because:  small blocks  strong drivers  Interconnect becomes a local property of a block  Budgeting can be done on every blocks  Benefit: 
  • 15. ISQED 2002 (C) Monterey Block Based Flow  Problem:  Strong driver leads to suboptimal solutions  Interconnect is NOT a local property of a block because of congestion  Does not capture large nets interconnecting several blocks  Budgeting is non-trivial, and can lead to suboptimal solutions  Assembly is complex if conditions at the boundaries of the blocks (capacitance & driver strength) is not fixed
  • 16. ISQED 2002 (C) Monterey Constant Delay Based Flow  Procedure:  Allocate delays on logical stage  Translate the delays into gains (Co/Ci)  Keep the gains constant as the gates are placed  Assumptions:  Delays is a linear function of the gain  Convex libraries  Benefit:  Fix timing upfront  Fast
  • 17. ISQED 2002 (C) Monterey Constant Delay Based Flow  Problem:  Gain cannot be preserved, needs buffer insertion  Consequently, allocation need to be revisited  Non-convex libraries  Mapping onto discrete libraries  Still will need DR information, e.g., for Xtalk effect
  • 18. ISQED 2002 (C) Monterey Summary Why a Need for Physical Flows? Some Physical Flows A refinement based Physical Flow Conclusion
  • 19. ISQED 2002 (C) Monterey  One cannot optimize what one cannot measure accurately enough  Data is measured with a distribution (x, σ)  Need to know σ --noise  Need to know how the optimization affect the distribution --correlation Principle
  • 20. ISQED 2002 (C) Monterey Reduce the Spread 0 50 100 150 200 250 300 0 0.15 0.3 0.46 0.61 0.76 0.91 1.06 1.22 1.37 1.52 1.67 Normalized Wire-length ProfileNumberofnets
  • 21. ISQED 2002 (C) Monterey Reduce the Spread 0 50 100 150 200 250 300 350 400 0 0.17 0.34 0.51 0.68 0.85 1.01 1.18 1.35 1.52 1.69 Normalized Wire-length ProfileNumberofnets
  • 22. ISQED 2002 (C) Monterey Reduce the Spread 0 50 100 150 200 250 300 350 400 0 0.17 0.34 0.5 0.67 0.84 1.01 1.18 1.34 1.51 1.68 Normalized Wire-length ProfileNumberofnets
  • 23. ISQED 2002 (C) Monterey Physical prototype  Earliest stage of the design when interconnect is predictable  Physical logic optimization can start at this level only  Timing signoff can be done at this level only
  • 24. ISQED 2002 (C) Monterey Physical Logic Optimization  Load and driver strength adaptation  Place  Sizing  Buffering  Pin swapping  Cloning  Timing boundary shifting  Transparent latch  Retiming  Useful skew  Area/Power recovery  Technology remapping  Re-synthesis  Redundancies based optimization
  • 25. ISQED 2002 (C) Monterey How Different Is Phy. Logic Opt.?  Need to work with accurate models  timing, power, design rules aware, etc  mostly non-convex  often CPU time costly  Need to place gates  tight communication with placer  Need to generate routes  New techniques  size & buffer & route & place  resynthesize & remap & place  logic optimization for congestion relief
  • 26. ISQED 2002 (C) Monterey Placement/Synthesis/Routing  The flexibility of the placement and the continuous refinement allows logic optimization to continue throughout the flow  Continual monitoring of “what is critical”  From extensive to local logic optimization
  • 27. ISQED 2002 (C) Monterey Clock Distribution  Clock tree is created at the physical prototyping level  Distribution of latches and flip-flops is known  A complete buffered/gated clock tree is automatically synthesized  Congestion and skew accounted for
  • 28. ISQED 2002 (C) Monterey Power/Ground Distribution  P/G network built at the physical prototype level  Built from user-provided power stripe/ring rules  P/G network can have a huge impact on congestion  Can judge the quality and integrity of the power/ground network (IR drop)
  • 29. ISQED 2002 (C) Monterey Summary Why a Need for Physical Flows? Some Physical Flows A refinement based Physical Flow Conclusion
  • 30. ISQED 2002 (C) Monterey Conclusion  Physical flows must consider logic, place, and route simultaneously  Physical flows need new solutions:  Logic synthesis & placement interaction  Synthesize logic & route at the same time  Early estimation of xtalk so that GR can allocate routing resources to DR  Logic optimization for congestion relief, for SI  …
  • 31. ISQED 2002 (C) Monterey The future  Possible flow:  Fast behavioral synthesis together with floorplanning  Evaluate area/performance tradeoff  Timing driven block & port placement  Evaluate top level routing of P/G integrity  Budgeting  Clock methodology  Fast RTL to gate synthesis of blocks  Physical synthesis of block:  Logic optimization + placement + routing  Block assembly & chip verification

Editor's Notes

  1. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  2. If we move up the levels of abstraction to the behavioral level we need to change our design methodology. This is the current RTL/logic synthesis based methodology where we specify the design in RTL and use logic synthesis to get to structural or gate level netlist - we would iterate on this loop until we are satisfied and then use place and route tools to get to the layout and iterate again. With the behavioral synthesis methodology you would write a behavioral specification and use behavioral synthesis to get to RTL code - we would iterate at this high level using different set of constraints and so on until satisfied before we go to logic synthesis.
  3. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  4. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  5. 1) Electromigration is the breaking of interconnect due to high current density flow. (This can happen to via also.) 2) Typically EM issue applies to power network and self-heating applies to signal nets. (The solution to EM and self-heating are similar.) 3) This is an important DSM effect as technology goes to finer geometry. More current and less width =&amp;gt; higher current density =&amp;gt;more EM/SH effect 4) Traditional approach is by over-designing the power network (to solve both EM and IR drop problem). There are few solutions for self-heating for signal nets, and the effects have been ignored. Verification at post-layout can introduce delay into design tape-out and is unacceptable. &amp;lt;Next&amp;gt;
  6. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  7. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  8. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).
  9. The clusters are sized and placed within partitions and among megacells Long wires are modeled among partitions, and congestion is approximated within partitions Initially, congestion is dominated by local wires Early wireplanning for long wires will not work
  10. “Long” wires are not “planned”, but are “placed” probabilistically in terms of where the router is likely to want to route them
  11. The placement should provide enough information to know the distribution of latches, but should be abstract enough to avoid being trapped by congestion caused by the clock wiring. The contribution of the clock tree to the congestion is taken into account as early as it is meaningful The latch and flip-flop distribution will not change dramatically after the physical prototype level The clock tree leaves will be refined and the top clock tree adjusted as the placement and optimization processes continue. Accurate timing projections enable useful skew methods to be applied at this level Placement is still coarse enough so that objects with common-skew targets can be grouped
  12. Eventually automation process will have to consider more detailed analysis too: Inductance of chip and packaging Resonance frequencies via ac analyses On-chip decoupling Power rail currents will not change much as the placement is refined Yet there is enough space to add/widen stripes API driven adjustment using incremental IR-drop analyses Ultimately this optimization process can be automated
  13. You can see that as the driver strength increases, interconnect has a smaller impact on delay. It approaches 1. We also show the optimal driver choice for this stage (represented by minimum delay and reasonable slope). It’s interesting to see that this point has a small value of r, which means that it is important to account for interconnect here. interesting design points here 3x (knee of the curve).