2. Agenda
â—Ź Motivation
â—Ź Flow
â—Ź Specific types of ECOs and examples
â—Ź Timing ECO tools
â—Ź Conclusions
* Various hyperlinks provided for an in-depth study
3. Definition
â—Ź ECO - Engineering Change Order
â—Ź Types
â—‹ Timing ECO
â– setup, hold, max_trans, max_cap, max_fanout, min_pulse_width, min_period
â– Metal only timing ECOs
â—‹ Functional ECO
â– Verification bugs
â– Last minute RTL changes
â—‹ Power ECO
â– Leakage recovery
â– Area recovery
â– Dynamic power optimization
â– Metal only leakage recovery
â—‹ Clock ECO
â– Setup, hold
4. Why?
● Implementation tool doesn’t fix all the violations
â—Ź Mis-correlation between implementation and sign-off
â—‹ GBA/PBA
â—‹ Sign-off margins are different from PD margins
â—‹ PnR spef (less accurate) v.s. STARRC spef (sign-off)
â—‹ Delay calculation
â—‹ Modeling differences (NDM, .lib, CCS)
â—‹ OCV modeling differences
â—Ź More sign-off corners than what is enabled in PnR
â—Ź Verification catches bugs
â—‹ Do not want to restart PD, but directly change netlist
â—Ź Recover power based on sign-off views
â—Ź DFT mode hold violations
â—Ź Incremental changes to the design towards closure
â—‹ Revert back the changes if progressing in a wrong direction
5. Why?
â—Ź Improve timing for any post-PD changes to design
â—‹ DRC cleanup
â—‹ Metal fill
â—‹ IR drop fixes
â—Ź Functional RTL changes
â—Ź SI calculation, timing window changes
â—‹ Accurate noise CCS models available in sign-off views
â—Ź Flatten all hierarchies in sign-off STA
â—‹ Multibit, soft_macros etc.
â—Ź Integration of IPs at chip_top and fixing remaining violations
â—Ź Fine-tuned limited SDC constraints not supported by implementation tool
â—Ź Cross corner clock skew and jitter variations
â—Ź Incremental analysis/flow
â—Ź Clock frequency and cell specific (VT/type) margins
6. Need for physical aware ECOs
â—Ź Lot of iterations for design closure
â—‹ Back and forth between PD and sign-off
â—‹ Less correlation leads to more iterations
â—Ź Lower technology nodes have restrictive rules
â—‹ min_vt_spacing
â—‹ min_vt_area
â—‹ cell specific derates
â—‹ Need for R,C estimation during ECO generation
â—‹ Double patterning DRC violations
â—Ź Miscorrelation between estimated and actual routes
â—Ź Lower technology nodes demand physical awareness
â—Ź Shorter Turnaround time
â—Ź Complex IPs and integration needs more automation
7. Timing ECO flow
â—Ź 20-30 loops in 4-5 weeks of time
is pretty common in industry
â—Ź Physical aware ECOs shorten the
timing closure time
â—Ź Multi-voltage, Multi corner and
Multi-mode scenarios need more
time for closure
â—Ź Conflicting setup and hold
violation fixes
â—Ź Increasing prudence in margining
for more yield and mass
production
11. Metal only ECO
â—Ź Base layers frozen (dont_touch)
â—Ź Only changes in the metal connections
â—‹ Use programmable filler cells
â—‹ Use spare cells
â—Ź Use existing filler cells and refill the design in empty spaces
â—Ź Parallelize base tapeout and metal tapeouts efforts on hard macros
14. Functional ECO
â—Ź Implement the incremental RTL change onto the final netlist instead of re-
doing the PD work
â—Ź LEC to make sure new netlist and new RTL and equivalent
â—Ź Functional metal ECOs after TO
â—Ź Conformal is the industry standard
15. Upcoming Technologies
â—Ź Clock ECO
â—‹ setup/hold fixes
â—Ź Dynamic power optimization
â—‹ SAIF/VCD/Toggle rate
â—Ź Route control in timing ECOs
â—Ź Automatic mapping and legalization for functional ECO gates
â—Ź Robust Minimal Physical Impact flows
â—Ź Local placement re-clustering algorithms