SlideShare a Scribd company logo
1 of 17
ECOs
Rajesh Mekala
Agenda
â—Ź Motivation
â—Ź Flow
â—Ź Specific types of ECOs and examples
â—Ź Timing ECO tools
â—Ź Conclusions
* Various hyperlinks provided for an in-depth study
Definition
â—Ź ECO - Engineering Change Order
â—Ź Types
â—‹ Timing ECO
â–  setup, hold, max_trans, max_cap, max_fanout, min_pulse_width, min_period
â–  Metal only timing ECOs
â—‹ Functional ECO
â–  Verification bugs
â–  Last minute RTL changes
â—‹ Power ECO
â–  Leakage recovery
â–  Area recovery
â–  Dynamic power optimization
â–  Metal only leakage recovery
â—‹ Clock ECO
â–  Setup, hold
Why?
● Implementation tool doesn’t fix all the violations
â—Ź Mis-correlation between implementation and sign-off
â—‹ GBA/PBA
â—‹ Sign-off margins are different from PD margins
â—‹ PnR spef (less accurate) v.s. STARRC spef (sign-off)
â—‹ Delay calculation
â—‹ Modeling differences (NDM, .lib, CCS)
â—‹ OCV modeling differences
â—Ź More sign-off corners than what is enabled in PnR
â—Ź Verification catches bugs
â—‹ Do not want to restart PD, but directly change netlist
â—Ź Recover power based on sign-off views
â—Ź DFT mode hold violations
â—Ź Incremental changes to the design towards closure
â—‹ Revert back the changes if progressing in a wrong direction
Why?
â—Ź Improve timing for any post-PD changes to design
â—‹ DRC cleanup
â—‹ Metal fill
â—‹ IR drop fixes
â—Ź Functional RTL changes
â—Ź SI calculation, timing window changes
â—‹ Accurate noise CCS models available in sign-off views
â—Ź Flatten all hierarchies in sign-off STA
â—‹ Multibit, soft_macros etc.
â—Ź Integration of IPs at chip_top and fixing remaining violations
â—Ź Fine-tuned limited SDC constraints not supported by implementation tool
â—Ź Cross corner clock skew and jitter variations
â—Ź Incremental analysis/flow
â—Ź Clock frequency and cell specific (VT/type) margins
Need for physical aware ECOs
â—Ź Lot of iterations for design closure
â—‹ Back and forth between PD and sign-off
â—‹ Less correlation leads to more iterations
â—Ź Lower technology nodes have restrictive rules
â—‹ min_vt_spacing
â—‹ min_vt_area
â—‹ cell specific derates
â—‹ Need for R,C estimation during ECO generation
â—‹ Double patterning DRC violations
â—Ź Miscorrelation between estimated and actual routes
â—Ź Lower technology nodes demand physical awareness
â—Ź Shorter Turnaround time
â—Ź Complex IPs and integration needs more automation
Timing ECO flow
â—Ź 20-30 loops in 4-5 weeks of time
is pretty common in industry
â—Ź Physical aware ECOs shorten the
timing closure time
â—Ź Multi-voltage, Multi corner and
Multi-mode scenarios need more
time for closure
â—Ź Conflicting setup and hold
violation fixes
â—Ź Increasing prudence in margining
for more yield and mass
production
Timing ECO fixes
setup hold max_trans
â—Ź Driver Upsizing
â—Ź Vt-swap
â—Ź Swap to lower channel length devices
â—Ź on-route buffer-insertion
â—Ź Re-placement (cluster)
â—Ź Net layer promotion (using route guides)
â—Ź Nets re-routing (shorter routes)
â—Ź Redundant inverter pair/buffer removal
â—Ź Flop vt-swap (lower setup requirement)
â—Ź Useful skew (clock ECO)
â—Ź Minimize clock crosstalk
â—Ź Remove data crosstalk
â—Ź NDR (remove SI)
â—Ź Shield critical nets
â—Ź load splitting
â—Ź downsize cells in non-critical fanout
â—Ź Route straightening (avoid jogs)
â—Ź Minimize number of vias
â—Ź Reduce clock jitter (better PLL, power grid)
â—Ź Register retiming
â—Ź 2-sigma timing corners
â—Ź Minimize clock tree divergence
â—Ź Downsizing
â—Ź Vt-swap
â—Ź On-route delay cell insertion
â—Ź Net layer demotion (route guides)
â—Ź Flop vt-swap (lower hold requirement)
â—Ź Clock adjustment
â—Ź Lockup latch
â—Ź Minimize clock crosstalk
â—Ź Dummy load insertion
â—Ź Net detours
â—Ź Upsizing
â—Ź Vt-swap
â—Ź On-route buffer-insertion
â—Ź Load splitting
â—Ź Buffer insertion
â—Ź SI prevention on high fanout
nets
Power ECO
â—Ź vt-swap
â—Ź downsizing
â—Ź Remove redundant inverter pairs/buffers
â—‹ Preserve timing across scenarios
â—Ź fix_eco_leakage from synopsys (PTSI)
â—Ź Example leakage recovery algorithm
Improved Leakage Recovery flow
Metal only ECO
â—Ź Base layers frozen (dont_touch)
â—Ź Only changes in the metal connections
â—‹ Use programmable filler cells
â—‹ Use spare cells
â—Ź Use existing filler cells and refill the design in empty spaces
â—Ź Parallelize base tapeout and metal tapeouts efforts on hard macros
Metal only ECO
Timing ECO tools
â—Ź In-house MCMM based TCL scripts
â—Ź Tweaker (Dorado Automation)
â—Ź fix_eco_timing/fix_eco_leakage (Synopsys)
â—Ź TimingExplorer (ICScape)
â—Ź Tempus (Cadence)
Functional ECO
â—Ź Implement the incremental RTL change onto the final netlist instead of re-
doing the PD work
â—Ź LEC to make sure new netlist and new RTL and equivalent
â—Ź Functional metal ECOs after TO
â—Ź Conformal is the industry standard
Upcoming Technologies
â—Ź Clock ECO
â—‹ setup/hold fixes
â—Ź Dynamic power optimization
â—‹ SAIF/VCD/Toggle rate
â—Ź Route control in timing ECOs
â—Ź Automatic mapping and legalization for functional ECO gates
â—Ź Robust Minimal Physical Impact flows
â—Ź Local placement re-clustering algorithms
Appendix
Reading Material
â—Ź Thesis on spare cells methodology
â—Ź Smart timing closure
â—Ź MCMM timing closure system
â—Ź Dorado website
â—Ź Physical aware timing ECOs
â—Ź Practical ECOs using conformal

More Related Content

What's hot

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptxAhmed Abdelazeem
 
Pd flow i
Pd flow iPd flow i
Pd flow iAlok Kumar
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction TechniquesRajesh M
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 

What's hot (20)

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Physical design
Physical design Physical design
Physical design
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Physical design
Physical design Physical design
Physical design
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 

Similar to Eco

Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchOpen_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchIgor Stoppa
 
Mirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in GoMirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in Golinuxlab_conf
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip BasicsA B Shinde
 
ELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedIgor Stoppa
 
Rtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsRtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsGrace Abraham
 
High Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander KrishnamurthyHigh Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander KrishnamurthyMassimo Talia
 
eTPU to GTM Migration Presentation
eTPU to GTM Migration PresentationeTPU to GTM Migration Presentation
eTPU to GTM Migration PresentationParker Mosman
 
RxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance ResultsRxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance ResultsBrendan Gregg
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangaloreProfessional Training Institute
 
Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3Nicholas Naing
 
19th Session.pptx
19th Session.pptx19th Session.pptx
19th Session.pptxIkhwaniSaputra
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...IRJET Journal
 
Turbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design OptimizationTurbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design OptimizationSimScale
 
Migrating to Apache Spark at Netflix
Migrating to Apache Spark at NetflixMigrating to Apache Spark at Netflix
Migrating to Apache Spark at NetflixDatabricks
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power ReductionMahesh Dananjaya
 

Similar to Eco (20)

Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchOpen_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
 
Final Presentation
Final PresentationFinal Presentation
Final Presentation
 
Low power
Low powerLow power
Low power
 
Mirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in GoMirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in Go
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
ELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystified
 
Micro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application DevelopmentMicro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application Development
 
Rtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsRtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffs
 
High Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander KrishnamurthyHigh Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander Krishnamurthy
 
eTPU to GTM Migration Presentation
eTPU to GTM Migration PresentationeTPU to GTM Migration Presentation
eTPU to GTM Migration Presentation
 
RxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance ResultsRxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance Results
 
BAXTER phase 1b
BAXTER phase 1bBAXTER phase 1b
BAXTER phase 1b
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in Bangalore
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3
 
19th Session.pptx
19th Session.pptx19th Session.pptx
19th Session.pptx
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
 
Turbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design OptimizationTurbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design Optimization
 
Migrating to Apache Spark at Netflix
Migrating to Apache Spark at NetflixMigrating to Apache Spark at Netflix
Migrating to Apache Spark at Netflix
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 

More from Rajesh M

Daily Habits.pdf
Daily Habits.pdfDaily Habits.pdf
Daily Habits.pdfRajesh M
 
Clock relationships
Clock relationshipsClock relationships
Clock relationshipsRajesh M
 
Node Scaling Objectives
Node Scaling ObjectivesNode Scaling Objectives
Node Scaling ObjectivesRajesh M
 
Technology scaling introduction
Technology scaling introductionTechnology scaling introduction
Technology scaling introductionRajesh M
 
Problems between Synthesis and preCTS
Problems between Synthesis and preCTSProblems between Synthesis and preCTS
Problems between Synthesis and preCTSRajesh M
 
Setup fixing
Setup fixingSetup fixing
Setup fixingRajesh M
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docsRajesh M
 
#50 ethics
#50 ethics#50 ethics
#50 ethicsRajesh M
 
680report final
680report final680report final
680report finalRajesh M
 
676.v3
676.v3676.v3
676.v3Rajesh M
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilationRajesh M
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slidesRajesh M
 

More from Rajesh M (12)

Daily Habits.pdf
Daily Habits.pdfDaily Habits.pdf
Daily Habits.pdf
 
Clock relationships
Clock relationshipsClock relationships
Clock relationships
 
Node Scaling Objectives
Node Scaling ObjectivesNode Scaling Objectives
Node Scaling Objectives
 
Technology scaling introduction
Technology scaling introductionTechnology scaling introduction
Technology scaling introduction
 
Problems between Synthesis and preCTS
Problems between Synthesis and preCTSProblems between Synthesis and preCTS
Problems between Synthesis and preCTS
 
Setup fixing
Setup fixingSetup fixing
Setup fixing
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
 
#50 ethics
#50 ethics#50 ethics
#50 ethics
 
680report final
680report final680report final
680report final
 
676.v3
676.v3676.v3
676.v3
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slides
 

Recently uploaded

CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixingviprabot1
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 

Recently uploaded (20)

CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixing
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 

Eco

  • 2. Agenda â—Ź Motivation â—Ź Flow â—Ź Specific types of ECOs and examples â—Ź Timing ECO tools â—Ź Conclusions * Various hyperlinks provided for an in-depth study
  • 3. Definition â—Ź ECO - Engineering Change Order â—Ź Types â—‹ Timing ECO â–  setup, hold, max_trans, max_cap, max_fanout, min_pulse_width, min_period â–  Metal only timing ECOs â—‹ Functional ECO â–  Verification bugs â–  Last minute RTL changes â—‹ Power ECO â–  Leakage recovery â–  Area recovery â–  Dynamic power optimization â–  Metal only leakage recovery â—‹ Clock ECO â–  Setup, hold
  • 4. Why? â—Ź Implementation tool doesn’t fix all the violations â—Ź Mis-correlation between implementation and sign-off â—‹ GBA/PBA â—‹ Sign-off margins are different from PD margins â—‹ PnR spef (less accurate) v.s. STARRC spef (sign-off) â—‹ Delay calculation â—‹ Modeling differences (NDM, .lib, CCS) â—‹ OCV modeling differences â—Ź More sign-off corners than what is enabled in PnR â—Ź Verification catches bugs â—‹ Do not want to restart PD, but directly change netlist â—Ź Recover power based on sign-off views â—Ź DFT mode hold violations â—Ź Incremental changes to the design towards closure â—‹ Revert back the changes if progressing in a wrong direction
  • 5. Why? â—Ź Improve timing for any post-PD changes to design â—‹ DRC cleanup â—‹ Metal fill â—‹ IR drop fixes â—Ź Functional RTL changes â—Ź SI calculation, timing window changes â—‹ Accurate noise CCS models available in sign-off views â—Ź Flatten all hierarchies in sign-off STA â—‹ Multibit, soft_macros etc. â—Ź Integration of IPs at chip_top and fixing remaining violations â—Ź Fine-tuned limited SDC constraints not supported by implementation tool â—Ź Cross corner clock skew and jitter variations â—Ź Incremental analysis/flow â—Ź Clock frequency and cell specific (VT/type) margins
  • 6. Need for physical aware ECOs â—Ź Lot of iterations for design closure â—‹ Back and forth between PD and sign-off â—‹ Less correlation leads to more iterations â—Ź Lower technology nodes have restrictive rules â—‹ min_vt_spacing â—‹ min_vt_area â—‹ cell specific derates â—‹ Need for R,C estimation during ECO generation â—‹ Double patterning DRC violations â—Ź Miscorrelation between estimated and actual routes â—Ź Lower technology nodes demand physical awareness â—Ź Shorter Turnaround time â—Ź Complex IPs and integration needs more automation
  • 7. Timing ECO flow â—Ź 20-30 loops in 4-5 weeks of time is pretty common in industry â—Ź Physical aware ECOs shorten the timing closure time â—Ź Multi-voltage, Multi corner and Multi-mode scenarios need more time for closure â—Ź Conflicting setup and hold violation fixes â—Ź Increasing prudence in margining for more yield and mass production
  • 8. Timing ECO fixes setup hold max_trans â—Ź Driver Upsizing â—Ź Vt-swap â—Ź Swap to lower channel length devices â—Ź on-route buffer-insertion â—Ź Re-placement (cluster) â—Ź Net layer promotion (using route guides) â—Ź Nets re-routing (shorter routes) â—Ź Redundant inverter pair/buffer removal â—Ź Flop vt-swap (lower setup requirement) â—Ź Useful skew (clock ECO) â—Ź Minimize clock crosstalk â—Ź Remove data crosstalk â—Ź NDR (remove SI) â—Ź Shield critical nets â—Ź load splitting â—Ź downsize cells in non-critical fanout â—Ź Route straightening (avoid jogs) â—Ź Minimize number of vias â—Ź Reduce clock jitter (better PLL, power grid) â—Ź Register retiming â—Ź 2-sigma timing corners â—Ź Minimize clock tree divergence â—Ź Downsizing â—Ź Vt-swap â—Ź On-route delay cell insertion â—Ź Net layer demotion (route guides) â—Ź Flop vt-swap (lower hold requirement) â—Ź Clock adjustment â—Ź Lockup latch â—Ź Minimize clock crosstalk â—Ź Dummy load insertion â—Ź Net detours â—Ź Upsizing â—Ź Vt-swap â—Ź On-route buffer-insertion â—Ź Load splitting â—Ź Buffer insertion â—Ź SI prevention on high fanout nets
  • 9. Power ECO â—Ź vt-swap â—Ź downsizing â—Ź Remove redundant inverter pairs/buffers â—‹ Preserve timing across scenarios â—Ź fix_eco_leakage from synopsys (PTSI) â—Ź Example leakage recovery algorithm
  • 11. Metal only ECO â—Ź Base layers frozen (dont_touch) â—Ź Only changes in the metal connections â—‹ Use programmable filler cells â—‹ Use spare cells â—Ź Use existing filler cells and refill the design in empty spaces â—Ź Parallelize base tapeout and metal tapeouts efforts on hard macros
  • 13. Timing ECO tools â—Ź In-house MCMM based TCL scripts â—Ź Tweaker (Dorado Automation) â—Ź fix_eco_timing/fix_eco_leakage (Synopsys) â—Ź TimingExplorer (ICScape) â—Ź Tempus (Cadence)
  • 14. Functional ECO â—Ź Implement the incremental RTL change onto the final netlist instead of re- doing the PD work â—Ź LEC to make sure new netlist and new RTL and equivalent â—Ź Functional metal ECOs after TO â—Ź Conformal is the industry standard
  • 15. Upcoming Technologies â—Ź Clock ECO â—‹ setup/hold fixes â—Ź Dynamic power optimization â—‹ SAIF/VCD/Toggle rate â—Ź Route control in timing ECOs â—Ź Automatic mapping and legalization for functional ECO gates â—Ź Robust Minimal Physical Impact flows â—Ź Local placement re-clustering algorithms
  • 17. Reading Material â—Ź Thesis on spare cells methodology â—Ź Smart timing closure â—Ź MCMM timing closure system â—Ź Dorado website â—Ź Physical aware timing ECOs â—Ź Practical ECOs using conformal