SlideShare a Scribd company logo
Digital Electronics
Presenter
Mohammad Yeasin Newaj
Definition and Details
History and Origin of Verilog
Basic Syntax of Verilog
01
Contents
Verilog
02 History
03 Syntax
04 Compiler
Software to run Verilog Log
05 Example of Verilog using gates
Example
Verilog
01
What is Verilog?
What is Verilog?
Verilog is a Hardware Description Language; a textual format for describing electronic circuits and
systems. Applied to electronic design, Verilog is intended to be used for verification through simulation,
for timing analysis, for test analysis (testability analysis and fault grading) and for logic synthesis.
The Verilog HDL is an IEEE standard - number 1364. The first version of the IEEE standard for Verilog
was published in 1995. A revised version was published in 2001; this is the version used by most
Verilog users. The IEEE Verilog standard document is known as the Language Reference Manual, or
LRM. This is the complete authoritative definition of the Verilog HDL.
A further revision of the Verilog standard was published in 2005, though it has little extra compared to
the 2001 standard. SystemVerilog is a huge set of extensions to Verilog, and was first published as an
IEEE standard in 2005. See the appropriate Knowhow section for more details about SystemVerilog.
IEEE Std 1364 also defines the Programming Language Interface, or PLI. This is a collection of software
routines which permit a bidirectional interface between Verilog and other languages (usually C).
Note that VHDL is not an abbreviation for Verilog HDL - Verilog and VHDL are two different HDLs. They
have more similarities than differences, however.
Short Definition of Verilog
Verilog, standardized as IEEE 1364, is a hardware
description language (HDL) which is used to
model electronic systems.
It is most commonly used in the design and
verification of digital circuits at the register-
transfer level of abstraction.
It is also used in the verification of analog
circuits and mixed-signal circuits, as well as in the
design of genetic circuits.[
History
02
History and Origin of Verilog
History of Verilog
In its original form, Verilog was intended to describe and simulate the automated synthesis of language subsets. After the
language created started spreading, it expanded to include structures such as gates which is how Verilog is used today.
One of the first hardware description languages to be created, Verilog was the brainchild of Prabhu Goel, Chi-Lai Huang,
Douglas Warmke, and Phil Moorby. Working over the winter of 1983 to 1984, the team created Verilog using their own
experience in similar systems. Chi-Lau Huang had helped to foster the LALDS hardware description.
The success of VDHL caused Cadence to make the move to expand Verilog for open standardization by transferring it to the
public domain. This move created the organization Accellera which was originally known as Open Verilog International. The
Verilog became IEEE Standard 1346 – 1995 or Verilog-95 as it was known.
Called the Automated Integrated Design Systems, the company that had been formed was purchased by Cadence Design
Systems in 1990. Today, Cadence retains all property rights to the Verilog and Verilog-XL design originated by the Gateway
Design Automation company. The success of the Verilog-95 led to numerous advances over the next several years. In
addition, the Verilog-A was created as a support to Spectre, an analog simulator, although it was never intended to be a
language that stood by itself.
The next major step occurred six years later when a new Verilog system was sent to the IEEE that offered improvements to
the system. Called the IEEE 1364-2001, it is better known as the Verilog-2001. Most of the upgrades included support for
variables and signed nets, a smoothing out of the signed operations process that helped to improve overall performance. In
addition, there was control over the statement instantiation which also improved the process significantly.
In 2005, another improvement was made to the Verilog system, although it was not as dramatic a leap from the Verilog-95 to
the Verilog-2001. The Verilog-2005 offered some new language features and clarified some spec and other aspects of the
design to make it run smoother. In addition, the Verilog-AMS was developed to bring together both mixed and analog sound
modeling and incorporate it into the traditional Verilog system.
Who invented Verilog?
Verilog HDL was invented by
Phil Moorby and Prabhu Goel
around 1984. It served as a
proprietary hardware
modeling language owned by
Gateway Design Automation
Inc. At that time, the language
was not standardized. It
modified itself in almost all
the revisions that came out
between 1984 to 1990.
Syntax
03
Basic Syntax of Verilog
Basic Syntax of a Verilog
An example for AND gate implementation using Verilog:
module and2 (c, b, a);
output c; // module outputs
input a,b; // module inputs
assign c = a&b; // continuous assignment
endmodule
Complex Verilog code for a specific design
Compiler
04
Compiler or Software to run Verilog Codes
Compiler or Software to use Verilog
Icarus Verilog
Icarus Verilog is the most common Verilog
simulation and synthesis tool.
It operates as a compiler, compiling source
code written in Verilog (IEEE-1364) into some
target format. For batch simulation, the
compiler can generate an intermediate form
called vvp assembly.
Interface of Icarus Verilog
Example
05
Example of Verilog Codes using Gates
Example of Verilog using gates - 1
The Logic Circuit for a Multiplexer
Verilog codes
Example of Verilog using gates - 2
module newaj (A,B,C,D,H);
Input A, B, C, D;
Output H;
and (z1, A, B, C);
and (z2, ~A, B, ~D);
Or (H, z1, z2);
endmodule
https://allanseepage.com/
https://en.wikipedia.org/
https://www.pcmag.com/
RESOURCES
z
Thank You

More Related Content

What's hot

Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
Archit Vora
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
Space Codesign
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
Akash Chowdhury
 
Embedded system
Embedded systemEmbedded system
Embedded system
ashraf eltholth
 
Digital design lect 26 27
Digital design lect 26 27Digital design lect 26 27
Digital design lect 26 27
babak danyal
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
skerlj
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
Rajendra Kumar
 
VerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshresthaVerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshrestha
Utkarsh Kulshrestha
 
Hardik_VLSI_Resume
Hardik_VLSI_ResumeHardik_VLSI_Resume
Hardik_VLSI_Resume
Hardik Trivedi
 
Basavanthrao_resume_vlsi
Basavanthrao_resume_vlsiBasavanthrao_resume_vlsi
Basavanthrao_resume_vlsi
Basavanthrao Malkapgouda
 
ctchou-resume
ctchou-resumectchou-resume
ctchou-resume
Ching-Tsun Chou
 
Integrating DDS into AXCIOMA, the component approach
Integrating DDS into AXCIOMA, the component approachIntegrating DDS into AXCIOMA, the component approach
Integrating DDS into AXCIOMA, the component approach
Remedy IT
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
Himanshu Shivhare
 
4Sem-HDL Programming Notes-Unit8-Synthesis
4Sem-HDL Programming Notes-Unit8-Synthesis 4Sem-HDL Programming Notes-Unit8-Synthesis
4Sem-HDL Programming Notes-Unit8-Synthesis
Dr. Shivananda Koteshwar
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
Venu Naik K
 
Sonarqube
SonarqubeSonarqube
Sonarqube
Kalkey
 
Darshan Dehuniya - Resume - ASIC Verification Engineer (1)
Darshan Dehuniya - Resume - ASIC Verification Engineer  (1)Darshan Dehuniya - Resume - ASIC Verification Engineer  (1)
Darshan Dehuniya - Resume - ASIC Verification Engineer (1)
Darshan Dehuniya
 
RESUME_VLSI
RESUME_VLSIRESUME_VLSI
RESUME_VLSI
galeshwar joriga
 
Kalyani_Resume_latest
Kalyani_Resume_latestKalyani_Resume_latest
Kalyani_Resume_latest
Kalyani Viswanadhapalli
 
C Sharp Jn
C Sharp JnC Sharp Jn
C Sharp Jn
guest58c84c
 

What's hot (20)

Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Digital design lect 26 27
Digital design lect 26 27Digital design lect 26 27
Digital design lect 26 27
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
VerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshresthaVerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshrestha
 
Hardik_VLSI_Resume
Hardik_VLSI_ResumeHardik_VLSI_Resume
Hardik_VLSI_Resume
 
Basavanthrao_resume_vlsi
Basavanthrao_resume_vlsiBasavanthrao_resume_vlsi
Basavanthrao_resume_vlsi
 
ctchou-resume
ctchou-resumectchou-resume
ctchou-resume
 
Integrating DDS into AXCIOMA, the component approach
Integrating DDS into AXCIOMA, the component approachIntegrating DDS into AXCIOMA, the component approach
Integrating DDS into AXCIOMA, the component approach
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
4Sem-HDL Programming Notes-Unit8-Synthesis
4Sem-HDL Programming Notes-Unit8-Synthesis 4Sem-HDL Programming Notes-Unit8-Synthesis
4Sem-HDL Programming Notes-Unit8-Synthesis
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
 
Sonarqube
SonarqubeSonarqube
Sonarqube
 
Darshan Dehuniya - Resume - ASIC Verification Engineer (1)
Darshan Dehuniya - Resume - ASIC Verification Engineer  (1)Darshan Dehuniya - Resume - ASIC Verification Engineer  (1)
Darshan Dehuniya - Resume - ASIC Verification Engineer (1)
 
RESUME_VLSI
RESUME_VLSIRESUME_VLSI
RESUME_VLSI
 
Kalyani_Resume_latest
Kalyani_Resume_latestKalyani_Resume_latest
Kalyani_Resume_latest
 
C Sharp Jn
C Sharp JnC Sharp Jn
C Sharp Jn
 

Similar to Verilog

Verilog HDL 0001.pdf
Verilog HDL  0001.pdfVerilog HDL  0001.pdf
Verilog HDL 0001.pdf
MONEERTHAMEER
 
Verilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdfVerilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdf
Sreenivas Mude
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
Dr. Shivananda Koteshwar
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
MrRRThirrunavukkaras
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
CoEBMSITM
 
Project
ProjectProject
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
ezonesolutions
 
Hdl simulators
Hdl  simulatorsHdl  simulators
Hdl simulators
Haribabu Kannuri
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
IJCI JOURNAL
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.ppt
ntareq91
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
vijaykumar vodnala
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
Dr.YNM
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revised
Prateek Chopra
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
Digital Marketing Evangelist
 
Enterprise PL1 - Peter Elderon
Enterprise PL1 - Peter ElderonEnterprise PL1 - Peter Elderon
Enterprise PL1 - Peter Elderon
NRB
 
.NET Core, ASP.NET Core Course, Session 3
.NET Core, ASP.NET Core Course, Session 3.NET Core, ASP.NET Core Course, Session 3
.NET Core, ASP.NET Core Course, Session 3
aminmesbahi
 
Verilog Pli
Verilog PliVerilog Pli
Verilog Pli
amit_monty
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
 
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test SuiteProcessor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
DVClub
 
Evaluating Wavelet Tranforms for Video Conferencing Applications
Evaluating Wavelet Tranforms for Video Conferencing ApplicationsEvaluating Wavelet Tranforms for Video Conferencing Applications
Evaluating Wavelet Tranforms for Video Conferencing Applications
Videoguy
 

Similar to Verilog (20)

Verilog HDL 0001.pdf
Verilog HDL  0001.pdfVerilog HDL  0001.pdf
Verilog HDL 0001.pdf
 
Verilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdfVerilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdf
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
 
Project
ProjectProject
Project
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
 
Hdl simulators
Hdl  simulatorsHdl  simulators
Hdl simulators
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.ppt
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revised
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Enterprise PL1 - Peter Elderon
Enterprise PL1 - Peter ElderonEnterprise PL1 - Peter Elderon
Enterprise PL1 - Peter Elderon
 
.NET Core, ASP.NET Core Course, Session 3
.NET Core, ASP.NET Core Course, Session 3.NET Core, ASP.NET Core Course, Session 3
.NET Core, ASP.NET Core Course, Session 3
 
Verilog Pli
Verilog PliVerilog Pli
Verilog Pli
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test SuiteProcessor Verification Using Open Source Tools and the GCC Regression Test Suite
Processor Verification Using Open Source Tools and the GCC Regression Test Suite
 
Evaluating Wavelet Tranforms for Video Conferencing Applications
Evaluating Wavelet Tranforms for Video Conferencing ApplicationsEvaluating Wavelet Tranforms for Video Conferencing Applications
Evaluating Wavelet Tranforms for Video Conferencing Applications
 

More from YeasinNewaj

Smith Chart by YEASIN NEWAJ
Smith Chart by YEASIN NEWAJ Smith Chart by YEASIN NEWAJ
Smith Chart by YEASIN NEWAJ
YeasinNewaj
 
Transmission Line by YEASIN NEWAJ
Transmission Line by YEASIN NEWAJTransmission Line by YEASIN NEWAJ
Transmission Line by YEASIN NEWAJ
YeasinNewaj
 
Microwave Engineering by YEASIN NEWAJ
Microwave Engineering by YEASIN NEWAJMicrowave Engineering by YEASIN NEWAJ
Microwave Engineering by YEASIN NEWAJ
YeasinNewaj
 
Impedance Matching by YEASIN NEWAJ
Impedance Matching by YEASIN NEWAJ Impedance Matching by YEASIN NEWAJ
Impedance Matching by YEASIN NEWAJ
YeasinNewaj
 
Antenna and It's Parameters by YEASIN NEWAJ
Antenna and It's Parameters by YEASIN NEWAJ Antenna and It's Parameters by YEASIN NEWAJ
Antenna and It's Parameters by YEASIN NEWAJ
YeasinNewaj
 
Digital signal processing by YEASIN NEWAJ
Digital signal processing by YEASIN NEWAJDigital signal processing by YEASIN NEWAJ
Digital signal processing by YEASIN NEWAJ
YeasinNewaj
 
Diode - All you need to know
Diode - All you need to knowDiode - All you need to know
Diode - All you need to know
YeasinNewaj
 
Common emitter amplifier by YEASIN NEWAJ
Common emitter amplifier by YEASIN NEWAJCommon emitter amplifier by YEASIN NEWAJ
Common emitter amplifier by YEASIN NEWAJ
YeasinNewaj
 
Electrostatics
ElectrostaticsElectrostatics
Electrostatics
YeasinNewaj
 
Gravitation - Physics
Gravitation - PhysicsGravitation - Physics
Gravitation - Physics
YeasinNewaj
 
Decision making and looping - c programming by YEASIN NEWAJ
Decision making and looping -  c programming by YEASIN NEWAJDecision making and looping -  c programming by YEASIN NEWAJ
Decision making and looping - c programming by YEASIN NEWAJ
YeasinNewaj
 

More from YeasinNewaj (11)

Smith Chart by YEASIN NEWAJ
Smith Chart by YEASIN NEWAJ Smith Chart by YEASIN NEWAJ
Smith Chart by YEASIN NEWAJ
 
Transmission Line by YEASIN NEWAJ
Transmission Line by YEASIN NEWAJTransmission Line by YEASIN NEWAJ
Transmission Line by YEASIN NEWAJ
 
Microwave Engineering by YEASIN NEWAJ
Microwave Engineering by YEASIN NEWAJMicrowave Engineering by YEASIN NEWAJ
Microwave Engineering by YEASIN NEWAJ
 
Impedance Matching by YEASIN NEWAJ
Impedance Matching by YEASIN NEWAJ Impedance Matching by YEASIN NEWAJ
Impedance Matching by YEASIN NEWAJ
 
Antenna and It's Parameters by YEASIN NEWAJ
Antenna and It's Parameters by YEASIN NEWAJ Antenna and It's Parameters by YEASIN NEWAJ
Antenna and It's Parameters by YEASIN NEWAJ
 
Digital signal processing by YEASIN NEWAJ
Digital signal processing by YEASIN NEWAJDigital signal processing by YEASIN NEWAJ
Digital signal processing by YEASIN NEWAJ
 
Diode - All you need to know
Diode - All you need to knowDiode - All you need to know
Diode - All you need to know
 
Common emitter amplifier by YEASIN NEWAJ
Common emitter amplifier by YEASIN NEWAJCommon emitter amplifier by YEASIN NEWAJ
Common emitter amplifier by YEASIN NEWAJ
 
Electrostatics
ElectrostaticsElectrostatics
Electrostatics
 
Gravitation - Physics
Gravitation - PhysicsGravitation - Physics
Gravitation - Physics
 
Decision making and looping - c programming by YEASIN NEWAJ
Decision making and looping -  c programming by YEASIN NEWAJDecision making and looping -  c programming by YEASIN NEWAJ
Decision making and looping - c programming by YEASIN NEWAJ
 

Recently uploaded

New techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdfNew techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdf
wisnuprabawa3
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
Divyam548318
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
IJECEIAES
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
yokeleetan1
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
nooriasukmaningtyas
 
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMSA SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
IJNSA Journal
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
drwaing
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
PPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testingPPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testing
anoopmanoharan2
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
gerogepatton
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
IJECEIAES
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
ihlasbinance2003
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
SUTEJAS
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
jpsjournal1
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
Madan Karki
 
Exception Handling notes in java exception
Exception Handling notes in java exceptionException Handling notes in java exception
Exception Handling notes in java exception
Ratnakar Mikkili
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
camseq
 

Recently uploaded (20)

New techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdfNew techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdf
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
 
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMSA SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
PPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testingPPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testing
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
 
Exception Handling notes in java exception
Exception Handling notes in java exceptionException Handling notes in java exception
Exception Handling notes in java exception
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
 

Verilog

  • 3. Definition and Details History and Origin of Verilog Basic Syntax of Verilog 01 Contents Verilog 02 History 03 Syntax 04 Compiler Software to run Verilog Log 05 Example of Verilog using gates Example
  • 5. What is Verilog? Verilog is a Hardware Description Language; a textual format for describing electronic circuits and systems. Applied to electronic design, Verilog is intended to be used for verification through simulation, for timing analysis, for test analysis (testability analysis and fault grading) and for logic synthesis. The Verilog HDL is an IEEE standard - number 1364. The first version of the IEEE standard for Verilog was published in 1995. A revised version was published in 2001; this is the version used by most Verilog users. The IEEE Verilog standard document is known as the Language Reference Manual, or LRM. This is the complete authoritative definition of the Verilog HDL. A further revision of the Verilog standard was published in 2005, though it has little extra compared to the 2001 standard. SystemVerilog is a huge set of extensions to Verilog, and was first published as an IEEE standard in 2005. See the appropriate Knowhow section for more details about SystemVerilog. IEEE Std 1364 also defines the Programming Language Interface, or PLI. This is a collection of software routines which permit a bidirectional interface between Verilog and other languages (usually C). Note that VHDL is not an abbreviation for Verilog HDL - Verilog and VHDL are two different HDLs. They have more similarities than differences, however.
  • 6. Short Definition of Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) which is used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register- transfer level of abstraction. It is also used in the verification of analog circuits and mixed-signal circuits, as well as in the design of genetic circuits.[
  • 8. History of Verilog In its original form, Verilog was intended to describe and simulate the automated synthesis of language subsets. After the language created started spreading, it expanded to include structures such as gates which is how Verilog is used today. One of the first hardware description languages to be created, Verilog was the brainchild of Prabhu Goel, Chi-Lai Huang, Douglas Warmke, and Phil Moorby. Working over the winter of 1983 to 1984, the team created Verilog using their own experience in similar systems. Chi-Lau Huang had helped to foster the LALDS hardware description. The success of VDHL caused Cadence to make the move to expand Verilog for open standardization by transferring it to the public domain. This move created the organization Accellera which was originally known as Open Verilog International. The Verilog became IEEE Standard 1346 – 1995 or Verilog-95 as it was known. Called the Automated Integrated Design Systems, the company that had been formed was purchased by Cadence Design Systems in 1990. Today, Cadence retains all property rights to the Verilog and Verilog-XL design originated by the Gateway Design Automation company. The success of the Verilog-95 led to numerous advances over the next several years. In addition, the Verilog-A was created as a support to Spectre, an analog simulator, although it was never intended to be a language that stood by itself. The next major step occurred six years later when a new Verilog system was sent to the IEEE that offered improvements to the system. Called the IEEE 1364-2001, it is better known as the Verilog-2001. Most of the upgrades included support for variables and signed nets, a smoothing out of the signed operations process that helped to improve overall performance. In addition, there was control over the statement instantiation which also improved the process significantly. In 2005, another improvement was made to the Verilog system, although it was not as dramatic a leap from the Verilog-95 to the Verilog-2001. The Verilog-2005 offered some new language features and clarified some spec and other aspects of the design to make it run smoother. In addition, the Verilog-AMS was developed to bring together both mixed and analog sound modeling and incorporate it into the traditional Verilog system.
  • 9. Who invented Verilog? Verilog HDL was invented by Phil Moorby and Prabhu Goel around 1984. It served as a proprietary hardware modeling language owned by Gateway Design Automation Inc. At that time, the language was not standardized. It modified itself in almost all the revisions that came out between 1984 to 1990.
  • 11. Basic Syntax of a Verilog An example for AND gate implementation using Verilog: module and2 (c, b, a); output c; // module outputs input a,b; // module inputs assign c = a&b; // continuous assignment endmodule
  • 12. Complex Verilog code for a specific design
  • 13. Compiler 04 Compiler or Software to run Verilog Codes
  • 14. Compiler or Software to use Verilog Icarus Verilog Icarus Verilog is the most common Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly.
  • 16. Example 05 Example of Verilog Codes using Gates
  • 17. Example of Verilog using gates - 1 The Logic Circuit for a Multiplexer Verilog codes
  • 18. Example of Verilog using gates - 2 module newaj (A,B,C,D,H); Input A, B, C, D; Output H; and (z1, A, B, C); and (z2, ~A, B, ~D); Or (H, z1, z2); endmodule