SlideShare a Scribd company logo
Hardware Description Language (HDL )
Programming
12/6/2020 Dr Salah Alkurwy 1
2
1. HDL Overview, Verilog Keywords and Syntax, Data Types and Operators,
2. Verilog Statements, Wire and gate-level Keywords, Structure of a Verilog Program :
3. Modules, ports, and signals, Specifying Boolean Expressions:
4. Example of gate instantiation (AND, NAND, OR, NOR, XOR, XNOR), User Defined
Primitives,
5. Verilog Examples: (Full Adder, 2-to-1 multiplexer , 2-to-4 decoder, 4- bit
comparator),
6. Modelling Circuit Delay,
7. Modelling Sequential Elements: blocking and non-blocking assignment,
8. sequential circuit elements, and registers,
9. Verilog Examples: (Verilog – D Flip-flop, Verilog – D Flip-flop with Reset,
10. Test bench Stimulus
Hardware Description Language Programming
12/6/2020 Dr Salah Alkurwy
Outline
¿VHDL & Verilog?
 Hardware description language (HDL) is a specialized computer
language used to describe the structure and behavior of electronic
circuits, and most commonly, digital logic circuits.
 There are two most common Hardware Description Languages (HDL)
used by integrated circuit (IC) designers.
 Verilog HDL
 VHDL.
 They are each a notation to describe the behavioral and structural
aspects of an electronic digital circuit.
3
12/6/2020 Dr Salah Alkurwy
VHDL Background
 VHSIC Hardware Description Language.
VHSIC is an abbreviation for Very High Speed Integrated Circuit.
 Developed by the department of defense (1981)
 In 1986 rights where given to IEEE
• Became a standard and published in 1987
• Revised standard we know now published in 1993 (VHDL 1076-1993)
regulated by VHDL international (VI)
4
12/6/2020 Dr Salah Alkurwy
VHDL
 Uses top-down approach to partition design into small blocks
‘components’
 Entity: describes interface signals & basic building blocks
 Architecture: describes behavior, each entity can have
multiple Architectures
 Configuration: sort of parts list for a design, which behavior
to use for each entity.
 Package: toolbox used to build design
5
12/6/2020 Dr Salah Alkurwy
6
12/6/2020 Dr Salah Alkurwy
• VHDL represents another high level language for digital
system design.
• In this course we study Verilog HDL
– reason:
• used more often in electronic and computer industry
• programming style is very similar to C programming
language
7
Verilog Background
 Developed by Gateway Design Automation (1980)
 Later acquired by Cadence Design(1989) who made
it public in 1990
 Became a standardized in 1995 by IEEE (Std 1364)
regulated by Open Verilog International (OVI)
8
Gateway Design Automation. The company was privately held at that time by Dr. Prabhu
Goel, the inventor of the PO in 1985 DEM (Path-Oriented Decision Making) test generation
algorithm.[1] Verilog HDL was designed by Phil Moorby who was later to become the Chief
Designer for Verilog-XL
12/6/2020 Dr Salah Alkurwy
• Verilog only has one building block
– Module: modules connect through their port similarly as
in VHDL
– Usually there is only one module per file.
– A top level invokes instances of other modules.
– Modules can be specified behaviorally or structurally.
• Behavioral specification defines behavior of digital system
• Structural specification defines hierarchical interconnection of
sub modules
VERILOG
9
12/6/2020 Dr Salah Alkurwy
12/6/2020 Dr Salah Alkurwy 10
module f_adder_1
(
input x,
input y,
input c_in,
output A,
output c_out
);
assign A = x ^ y ^ c_in;
assign c_out = (x & y) | ((x^y) & c_in);
endmodule
An Example of Verilog HDL Cde
• Verilog Hardware Description Language(HDL)
– A high-level computer language can model, represent and simulate digital
design
• Hardware concurrency
• Parallel Activity Flow
• Semantics for Signal Value and Time
– Design examples using Verilog HDL
• Intel Pentium, AMD K5, K6, Atheon, ARM7, etc
• Thousands of ASIC designs using Verilog HDL
What is Verilog HDL?
11
The “standard” languages are:
 Very similar
Many tools provide front-ends to both
Verilog is “simpler”
Less syntax, fewer constructs
 VHDL supports large, complex systems
Better support for modularization
More grungy details
“Hello world” is much bigger in VHDL
Verilog/VHDL
12
• These languages have taken designers from low level detail to
much higher level of abstraction.
• In 2000 VI & OVI merged into Accellera
• Simulation & synthesis are the two main kinds of tools which
operate on the VHDL & Verilog languages.
• They are not a toolset or methodology they are each a different
language.
– However toolsets and methodologies are essential for their effective use.
Similarities
13
12/6/2020 Dr Salah Alkurwy
• There are not many differences as to the capabilities of
each.
• The choice of which one to use is often based in personal
preference & other issues such as availability of tools &
commercial terms.
• VHDL is “harder” to learn ADA-like.
• Verilog is “easier” to learn C-like.
Differences?
14
12/6/2020 Dr Salah Alkurwy
Field Programmable Gate Array
A Fully configurable IC
FPGAs contain programmable logic components called logic blocks.
Contain hierarchy of reconfigurable interconnects that allow the blocks to be
wired together.
Logic Blocks can be configured to any complex circuit.
FPGA can be made to work as a Xor gate, a Counter or even bigger- an
entire Processor!
FPGA
15
Advancements over the years
 © Intel 4004
Processor
 Introduced in 1971
 2300 Transistors
 108 KHz Clock
 © Intel P4 Processor
 Introduced in 2000
 40 Million Transistors
 1.5GHz Clock 16
17
FPGA Kit Board
18
ALTERA Quartus II
12/6/2020 Dr Salah Alkurwy 19
xilinx ISE
20

More Related Content

Similar to Verilog HDL 0001.pdf

HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
Digital Marketing Evangelist
 
Hdl
HdlHdl
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
Béo Tú
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
MalligaarjunanN
 
Verilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdfVerilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdf
Sreenivas Mude
 
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
IDES Editor
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
MrRRThirrunavukkaras
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
CoEBMSITM
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revisedPrateek Chopra
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
Nima Shafiee
 
Evolution and History of Programming Languages - Software/Hardware/System
Evolution and History of Programming Languages - Software/Hardware/SystemEvolution and History of Programming Languages - Software/Hardware/System
Evolution and History of Programming Languages - Software/Hardware/System
Amity University | FMS - DU | IMT | Stratford University | KKMI International Institute | AIMA | DTU
 
Lecture1
Lecture1Lecture1
Lecture1
karim_ibrahim
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
chitlesh
 
Red Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
Red Hat® Ceph Storage and Network Solutions for Software Defined InfrastructureRed Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
Red Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
Intel® Software
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
Dr.YNM
 
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav TulachJDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
PROIDEA
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
Eutectics
 
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
Neo4j
 

Similar to Verilog HDL 0001.pdf (20)

HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Hdl
HdlHdl
Hdl
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
Verilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdfVerilog HDL-Samir Palnitkar.pdf
Verilog HDL-Samir Palnitkar.pdf
 
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hard...
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
 
Aldec overview 2011-10 revised
Aldec overview 2011-10 revisedAldec overview 2011-10 revised
Aldec overview 2011-10 revised
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Evolution and History of Programming Languages - Software/Hardware/System
Evolution and History of Programming Languages - Software/Hardware/SystemEvolution and History of Programming Languages - Software/Hardware/System
Evolution and History of Programming Languages - Software/Hardware/System
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
Lecture1
Lecture1Lecture1
Lecture1
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
 
Red Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
Red Hat® Ceph Storage and Network Solutions for Software Defined InfrastructureRed Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
Red Hat® Ceph Storage and Network Solutions for Software Defined Infrastructure
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
 
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav TulachJDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
JDD2015: Towards the Fastest (J)VM on the Planet! - Jaroslav Tulach
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
026 Neo4j Data Loading (ETL_ELT) Best Practices - NODES2022 AMERICAS Advanced...
 

More from MONEERTHAMEER

Hassan hassan mechanical fourth stage.pptx
Hassan hassan mechanical fourth stage.pptxHassan hassan mechanical fourth stage.pptx
Hassan hassan mechanical fourth stage.pptx
MONEERTHAMEER
 
8XXX alloy - .pptx
8XXX alloy -  .pptx8XXX alloy -  .pptx
8XXX alloy - .pptx
MONEERTHAMEER
 
Ferritic stainless steel.pptx
 Ferritic stainless steel.pptx Ferritic stainless steel.pptx
Ferritic stainless steel.pptx
MONEERTHAMEER
 
السلامة المهنية.pptx
السلامة المهنية.pptxالسلامة المهنية.pptx
السلامة المهنية.pptx
MONEERTHAMEER
 
Electron Beam Melting.pptx
 Electron Beam Melting.pptx Electron Beam Melting.pptx
Electron Beam Melting.pptx
MONEERTHAMEER
 
EDS.pptx
  EDS.pptx  EDS.pptx
EDS.pptx
MONEERTHAMEER
 
Critical Assessment of the Al-Ti-Zr System.pptx
  Critical Assessment of the Al-Ti-Zr System.pptx  Critical Assessment of the Al-Ti-Zr System.pptx
Critical Assessment of the Al-Ti-Zr System.pptx
MONEERTHAMEER
 
electronic.ppt
electronic.pptelectronic.ppt
electronic.ppt
MONEERTHAMEER
 
control .pptx
control .pptxcontrol .pptx
control .pptx
MONEERTHAMEER
 
Robust Control .pptx
Robust Control .pptxRobust Control .pptx
Robust Control .pptx
MONEERTHAMEER
 
roaming.pptx
 roaming.pptx roaming.pptx
roaming.pptx
MONEERTHAMEER
 
Synchronisation in Digital.pptx
  Synchronisation in Digital.pptx  Synchronisation in Digital.pptx
Synchronisation in Digital.pptx
MONEERTHAMEER
 
Traffic Accidents .pptx
Traffic Accidents   .pptxTraffic Accidents   .pptx
Traffic Accidents .pptx
MONEERTHAMEER
 
FBMC-OQAM Modulation.pptx
FBMC-OQAM Modulation.pptxFBMC-OQAM Modulation.pptx
FBMC-OQAM Modulation.pptx
MONEERTHAMEER
 
presentation.pptx
presentation.pptxpresentation.pptx
presentation.pptx
MONEERTHAMEER
 
concrete .pdf
 concrete .pdf concrete .pdf
concrete .pdf
MONEERTHAMEER
 
plane wave .pdf
 plane wave .pdf plane wave .pdf
plane wave .pdf
MONEERTHAMEER
 
transmission line
 transmission line transmission line
transmission line
MONEERTHAMEER
 

More from MONEERTHAMEER (20)

Hassan hassan mechanical fourth stage.pptx
Hassan hassan mechanical fourth stage.pptxHassan hassan mechanical fourth stage.pptx
Hassan hassan mechanical fourth stage.pptx
 
8XXX alloy - .pptx
8XXX alloy -  .pptx8XXX alloy -  .pptx
8XXX alloy - .pptx
 
5G.pptx
5G.pptx5G.pptx
5G.pptx
 
Ferritic stainless steel.pptx
 Ferritic stainless steel.pptx Ferritic stainless steel.pptx
Ferritic stainless steel.pptx
 
السلامة المهنية.pptx
السلامة المهنية.pptxالسلامة المهنية.pptx
السلامة المهنية.pptx
 
Electron Beam Melting.pptx
 Electron Beam Melting.pptx Electron Beam Melting.pptx
Electron Beam Melting.pptx
 
EDS.pptx
  EDS.pptx  EDS.pptx
EDS.pptx
 
Critical Assessment of the Al-Ti-Zr System.pptx
  Critical Assessment of the Al-Ti-Zr System.pptx  Critical Assessment of the Al-Ti-Zr System.pptx
Critical Assessment of the Al-Ti-Zr System.pptx
 
electronic.ppt
electronic.pptelectronic.ppt
electronic.ppt
 
control .pptx
control .pptxcontrol .pptx
control .pptx
 
Robust Control .pptx
Robust Control .pptxRobust Control .pptx
Robust Control .pptx
 
roaming.pptx
 roaming.pptx roaming.pptx
roaming.pptx
 
finite.pptx
 finite.pptx finite.pptx
finite.pptx
 
Synchronisation in Digital.pptx
  Synchronisation in Digital.pptx  Synchronisation in Digital.pptx
Synchronisation in Digital.pptx
 
Traffic Accidents .pptx
Traffic Accidents   .pptxTraffic Accidents   .pptx
Traffic Accidents .pptx
 
FBMC-OQAM Modulation.pptx
FBMC-OQAM Modulation.pptxFBMC-OQAM Modulation.pptx
FBMC-OQAM Modulation.pptx
 
presentation.pptx
presentation.pptxpresentation.pptx
presentation.pptx
 
concrete .pdf
 concrete .pdf concrete .pdf
concrete .pdf
 
plane wave .pdf
 plane wave .pdf plane wave .pdf
plane wave .pdf
 
transmission line
 transmission line transmission line
transmission line
 

Recently uploaded

Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
ssuser9bd3ba
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
addressing modes in computer architecture
addressing modes  in computer architectureaddressing modes  in computer architecture
addressing modes in computer architecture
ShahidSultan24
 

Recently uploaded (20)

Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
addressing modes in computer architecture
addressing modes  in computer architectureaddressing modes  in computer architecture
addressing modes in computer architecture
 

Verilog HDL 0001.pdf

  • 1. Hardware Description Language (HDL ) Programming 12/6/2020 Dr Salah Alkurwy 1
  • 2. 2 1. HDL Overview, Verilog Keywords and Syntax, Data Types and Operators, 2. Verilog Statements, Wire and gate-level Keywords, Structure of a Verilog Program : 3. Modules, ports, and signals, Specifying Boolean Expressions: 4. Example of gate instantiation (AND, NAND, OR, NOR, XOR, XNOR), User Defined Primitives, 5. Verilog Examples: (Full Adder, 2-to-1 multiplexer , 2-to-4 decoder, 4- bit comparator), 6. Modelling Circuit Delay, 7. Modelling Sequential Elements: blocking and non-blocking assignment, 8. sequential circuit elements, and registers, 9. Verilog Examples: (Verilog – D Flip-flop, Verilog – D Flip-flop with Reset, 10. Test bench Stimulus Hardware Description Language Programming 12/6/2020 Dr Salah Alkurwy Outline
  • 3. ¿VHDL & Verilog?  Hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, and most commonly, digital logic circuits.  There are two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers.  Verilog HDL  VHDL.  They are each a notation to describe the behavioral and structural aspects of an electronic digital circuit. 3 12/6/2020 Dr Salah Alkurwy
  • 4. VHDL Background  VHSIC Hardware Description Language. VHSIC is an abbreviation for Very High Speed Integrated Circuit.  Developed by the department of defense (1981)  In 1986 rights where given to IEEE • Became a standard and published in 1987 • Revised standard we know now published in 1993 (VHDL 1076-1993) regulated by VHDL international (VI) 4 12/6/2020 Dr Salah Alkurwy
  • 5. VHDL  Uses top-down approach to partition design into small blocks ‘components’  Entity: describes interface signals & basic building blocks  Architecture: describes behavior, each entity can have multiple Architectures  Configuration: sort of parts list for a design, which behavior to use for each entity.  Package: toolbox used to build design 5 12/6/2020 Dr Salah Alkurwy
  • 7. • VHDL represents another high level language for digital system design. • In this course we study Verilog HDL – reason: • used more often in electronic and computer industry • programming style is very similar to C programming language 7
  • 8. Verilog Background  Developed by Gateway Design Automation (1980)  Later acquired by Cadence Design(1989) who made it public in 1990  Became a standardized in 1995 by IEEE (Std 1364) regulated by Open Verilog International (OVI) 8 Gateway Design Automation. The company was privately held at that time by Dr. Prabhu Goel, the inventor of the PO in 1985 DEM (Path-Oriented Decision Making) test generation algorithm.[1] Verilog HDL was designed by Phil Moorby who was later to become the Chief Designer for Verilog-XL 12/6/2020 Dr Salah Alkurwy
  • 9. • Verilog only has one building block – Module: modules connect through their port similarly as in VHDL – Usually there is only one module per file. – A top level invokes instances of other modules. – Modules can be specified behaviorally or structurally. • Behavioral specification defines behavior of digital system • Structural specification defines hierarchical interconnection of sub modules VERILOG 9 12/6/2020 Dr Salah Alkurwy
  • 10. 12/6/2020 Dr Salah Alkurwy 10 module f_adder_1 ( input x, input y, input c_in, output A, output c_out ); assign A = x ^ y ^ c_in; assign c_out = (x & y) | ((x^y) & c_in); endmodule An Example of Verilog HDL Cde
  • 11. • Verilog Hardware Description Language(HDL) – A high-level computer language can model, represent and simulate digital design • Hardware concurrency • Parallel Activity Flow • Semantics for Signal Value and Time – Design examples using Verilog HDL • Intel Pentium, AMD K5, K6, Atheon, ARM7, etc • Thousands of ASIC designs using Verilog HDL What is Verilog HDL? 11
  • 12. The “standard” languages are:  Very similar Many tools provide front-ends to both Verilog is “simpler” Less syntax, fewer constructs  VHDL supports large, complex systems Better support for modularization More grungy details “Hello world” is much bigger in VHDL Verilog/VHDL 12
  • 13. • These languages have taken designers from low level detail to much higher level of abstraction. • In 2000 VI & OVI merged into Accellera • Simulation & synthesis are the two main kinds of tools which operate on the VHDL & Verilog languages. • They are not a toolset or methodology they are each a different language. – However toolsets and methodologies are essential for their effective use. Similarities 13 12/6/2020 Dr Salah Alkurwy
  • 14. • There are not many differences as to the capabilities of each. • The choice of which one to use is often based in personal preference & other issues such as availability of tools & commercial terms. • VHDL is “harder” to learn ADA-like. • Verilog is “easier” to learn C-like. Differences? 14 12/6/2020 Dr Salah Alkurwy
  • 15. Field Programmable Gate Array A Fully configurable IC FPGAs contain programmable logic components called logic blocks. Contain hierarchy of reconfigurable interconnects that allow the blocks to be wired together. Logic Blocks can be configured to any complex circuit. FPGA can be made to work as a Xor gate, a Counter or even bigger- an entire Processor! FPGA 15
  • 16. Advancements over the years  © Intel 4004 Processor  Introduced in 1971  2300 Transistors  108 KHz Clock  © Intel P4 Processor  Introduced in 2000  40 Million Transistors  1.5GHz Clock 16
  • 19. 12/6/2020 Dr Salah Alkurwy 19 xilinx ISE
  • 20. 20