SlideShare a Scribd company logo
1 of 15
Verilog-HDL
Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir
Some of slides in this lecture are supported by Prof. An-Yeu Wu, E.E., NTU.
OUTLINE
 Introduction
 Basics of the Verilog Language
 Gate-level modeling
 Data-flow modeling
 Behavioral modeling
 Task and function
Verilog HDL (continue)
• Invented by Philip Moorby in 1983/ 1984 at
Gateway Design Automation ( Prabhu Goel,
President )
• Enables specification of a digital system at a
range of levels of abstraction: switches, gates,
RTL, and higher
• Initially developed in conjunction with the Verilog
simulator
Verilog HDL
• Verilog- based synthesis tool introduced by
Synopsys in 1987
• Gateway Design Automation bought by Cadence in
1989
• Verilog placed in public domain to compete with
VHDL
– Open Verilog International (OVI) IEEE 1364 -
1995 and
 revised version IEEE 1364 -2001
 revised version IEEE 1364 -2001
What is Verilog HDL ?
 Mixed level modeling
 Behavioral
 Algorithmic ( like high level language)
 Register transfer (Synthesizable)
 Structural
 Gate (AND, OR ……)
 Switch (PMOS, NOMS, JFET ……)
 Single language for design and simulation
 Built-in primitives and logic functions
 User-defined primitives
 Built-in data types
 High-level programming constructs
Basic Conventions
 Verilog is case sensitive
– Keywords are in lowercase
 Extra white space is ignored
– But whitespace does separate tokens
 Comments
– One liners are //
– Multiple lines /* */
– Comments may not be nested
OUTLINE
 Introduction
 Basics of the Verilog Language
 Overview of Verilog Module
 Identifier & Keywords
 Logic Values
 Data Types
 Numbers & Negative Numbers
 Gate-level modeling
 Data-flow modeling
 Behavioral modeling
 Task and function
Overview of Verilog Module
Test bench
Basic unit --Module
module module_name (port_name);
port declaration
data type declaration
module functionality or structure
Endmodule
D-FlipFlop
module D_FF(q,d,clk,reset);
output q; //port declaration
input d,clk,reset; // data type declaration
reg q;
always @ (posedge reset or negedge clk)
if (reset)
q=1'b0;
else
q=d;
endmodule
Instance
 A module provides a template which you
can create actual objects.
 When a module is invoked, Verilog creates
a unique object from the template
 The process of creating a object from
module template is called instantiation
 The object is called instance
Instances
module adder (in1,in2,cin,sum,cout);
.......
endmodule
module adder8(....) ;
adder add1(a,b,1’b0,s1,c1) ,
add2(.in1(a2),.in2(b2),.cin(c1),.sum(s2)
,.cout(c2)) ;
.....
endmodule
Mapping port positions
Mapping names
T-FlipFlop
module T_FF(q,clk,reset);
output q;
input clk,reset;
wire d;
D_FF dff0(q,d,clk,reset); // create an instance
not n1(d,q);
endmodule
Identifier & Keywords
 Identifier
 User-provided names for Verilog objects in the
descriptions
 Legal characters are “a-z”, “A-Z”, “0-9”, “_”, and “$”
 First character has to be a letter or an “_”
 Example: Count, _R2D2, FIVE$
 Keywords
 Predefined identifiers to define the language
constructs
 All keywords are defined in lower case
 Cannot be used as identifiers
 Example:initial, assign, module, always….

More Related Content

Similar to Verilog Hardware Description Language.ppt

Verilog overview
Verilog overviewVerilog overview
Verilog overviewposdege
 
Verilog HDL 0001.pdf
Verilog HDL  0001.pdfVerilog HDL  0001.pdf
Verilog HDL 0001.pdfMONEERTHAMEER
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
An Overview of SystemVerilog for Design and Verification
An Overview of SystemVerilog  for Design and VerificationAn Overview of SystemVerilog  for Design and Verification
An Overview of SystemVerilog for Design and VerificationKapilRaghunandanTrip
 
Eclipse Modeling Framework
Eclipse Modeling FrameworkEclipse Modeling Framework
Eclipse Modeling FrameworkAjay K
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsSpace Codesign
 
Virtual platform
Virtual platformVirtual platform
Virtual platformsean chen
 
Digital design with Systemc
Digital design with SystemcDigital design with Systemc
Digital design with SystemcMarc Engels
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1Béo Tú
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLRevathi Subramaniam
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptntareq91
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdfezonesolutions
 

Similar to Verilog Hardware Description Language.ppt (20)

Vhdl new
Vhdl newVhdl new
Vhdl new
 
Verilog overview
Verilog overviewVerilog overview
Verilog overview
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Verilog HDL 0001.pdf
Verilog HDL  0001.pdfVerilog HDL  0001.pdf
Verilog HDL 0001.pdf
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
An Overview of SystemVerilog for Design and Verification
An Overview of SystemVerilog  for Design and VerificationAn Overview of SystemVerilog  for Design and Verification
An Overview of SystemVerilog for Design and Verification
 
S6 cad5
S6 cad5S6 cad5
S6 cad5
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Eclipse Modeling Framework
Eclipse Modeling FrameworkEclipse Modeling Framework
Eclipse Modeling Framework
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
Virtual platform
Virtual platformVirtual platform
Virtual platform
 
Digital design with Systemc
Digital design with SystemcDigital design with Systemc
Digital design with Systemc
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Verilog
VerilogVerilog
Verilog
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.ppt
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
 

More from MrRRThirrunavukkaras

VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptx
VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptxVLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptx
VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptxMrRRThirrunavukkaras
 
Combinational Circuits Design in Digital System Design.pptx
Combinational Circuits Design in Digital System Design.pptxCombinational Circuits Design in Digital System Design.pptx
Combinational Circuits Design in Digital System Design.pptxMrRRThirrunavukkaras
 
Electronics for basic engineers and .ppt
Electronics for basic engineers and .pptElectronics for basic engineers and .ppt
Electronics for basic engineers and .pptMrRRThirrunavukkaras
 
Search-Beyond-Classical-no-exercise-answers.pdf
Search-Beyond-Classical-no-exercise-answers.pdfSearch-Beyond-Classical-no-exercise-answers.pdf
Search-Beyond-Classical-no-exercise-answers.pdfMrRRThirrunavukkaras
 
wearable_HAPTIC_TECHNOLOGY_pptx.pptx
wearable_HAPTIC_TECHNOLOGY_pptx.pptxwearable_HAPTIC_TECHNOLOGY_pptx.pptx
wearable_HAPTIC_TECHNOLOGY_pptx.pptxMrRRThirrunavukkaras
 
8051instructionset-120412233627-phpapp01.pdf
8051instructionset-120412233627-phpapp01.pdf8051instructionset-120412233627-phpapp01.pdf
8051instructionset-120412233627-phpapp01.pdfMrRRThirrunavukkaras
 

More from MrRRThirrunavukkaras (8)

VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptx
VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptxVLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptx
VLSI DESIGN BASICS TRANSISTOR THEORY AND MOS TRANSISTOR.pptx
 
Combinational Circuits Design in Digital System Design.pptx
Combinational Circuits Design in Digital System Design.pptxCombinational Circuits Design in Digital System Design.pptx
Combinational Circuits Design in Digital System Design.pptx
 
Electronics for basic engineers and .ppt
Electronics for basic engineers and .pptElectronics for basic engineers and .ppt
Electronics for basic engineers and .ppt
 
Search-Beyond-Classical-no-exercise-answers.pdf
Search-Beyond-Classical-no-exercise-answers.pdfSearch-Beyond-Classical-no-exercise-answers.pdf
Search-Beyond-Classical-no-exercise-answers.pdf
 
Adaptive Cruise Control.pdf
Adaptive Cruise Control.pdfAdaptive Cruise Control.pdf
Adaptive Cruise Control.pdf
 
certificate wordings.docx
certificate wordings.docxcertificate wordings.docx
certificate wordings.docx
 
wearable_HAPTIC_TECHNOLOGY_pptx.pptx
wearable_HAPTIC_TECHNOLOGY_pptx.pptxwearable_HAPTIC_TECHNOLOGY_pptx.pptx
wearable_HAPTIC_TECHNOLOGY_pptx.pptx
 
8051instructionset-120412233627-phpapp01.pdf
8051instructionset-120412233627-phpapp01.pdf8051instructionset-120412233627-phpapp01.pdf
8051instructionset-120412233627-phpapp01.pdf
 

Recently uploaded

Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Micromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersMicromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersChitralekhaTherkar
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 

Recently uploaded (20)

Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Micromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersMicromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of Powders
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 

Verilog Hardware Description Language.ppt

  • 1. Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu Wu, E.E., NTU.
  • 2. OUTLINE  Introduction  Basics of the Verilog Language  Gate-level modeling  Data-flow modeling  Behavioral modeling  Task and function
  • 3. Verilog HDL (continue) • Invented by Philip Moorby in 1983/ 1984 at Gateway Design Automation ( Prabhu Goel, President ) • Enables specification of a digital system at a range of levels of abstraction: switches, gates, RTL, and higher • Initially developed in conjunction with the Verilog simulator
  • 4. Verilog HDL • Verilog- based synthesis tool introduced by Synopsys in 1987 • Gateway Design Automation bought by Cadence in 1989 • Verilog placed in public domain to compete with VHDL – Open Verilog International (OVI) IEEE 1364 - 1995 and  revised version IEEE 1364 -2001  revised version IEEE 1364 -2001
  • 5.
  • 6. What is Verilog HDL ?  Mixed level modeling  Behavioral  Algorithmic ( like high level language)  Register transfer (Synthesizable)  Structural  Gate (AND, OR ……)  Switch (PMOS, NOMS, JFET ……)  Single language for design and simulation  Built-in primitives and logic functions  User-defined primitives  Built-in data types  High-level programming constructs
  • 7. Basic Conventions  Verilog is case sensitive – Keywords are in lowercase  Extra white space is ignored – But whitespace does separate tokens  Comments – One liners are // – Multiple lines /* */ – Comments may not be nested
  • 8. OUTLINE  Introduction  Basics of the Verilog Language  Overview of Verilog Module  Identifier & Keywords  Logic Values  Data Types  Numbers & Negative Numbers  Gate-level modeling  Data-flow modeling  Behavioral modeling  Task and function
  • 9. Overview of Verilog Module Test bench
  • 10. Basic unit --Module module module_name (port_name); port declaration data type declaration module functionality or structure Endmodule
  • 11. D-FlipFlop module D_FF(q,d,clk,reset); output q; //port declaration input d,clk,reset; // data type declaration reg q; always @ (posedge reset or negedge clk) if (reset) q=1'b0; else q=d; endmodule
  • 12. Instance  A module provides a template which you can create actual objects.  When a module is invoked, Verilog creates a unique object from the template  The process of creating a object from module template is called instantiation  The object is called instance
  • 13. Instances module adder (in1,in2,cin,sum,cout); ....... endmodule module adder8(....) ; adder add1(a,b,1’b0,s1,c1) , add2(.in1(a2),.in2(b2),.cin(c1),.sum(s2) ,.cout(c2)) ; ..... endmodule Mapping port positions Mapping names
  • 14. T-FlipFlop module T_FF(q,clk,reset); output q; input clk,reset; wire d; D_FF dff0(q,d,clk,reset); // create an instance not n1(d,q); endmodule
  • 15. Identifier & Keywords  Identifier  User-provided names for Verilog objects in the descriptions  Legal characters are “a-z”, “A-Z”, “0-9”, “_”, and “$”  First character has to be a letter or an “_”  Example: Count, _R2D2, FIVE$  Keywords  Predefined identifiers to define the language constructs  All keywords are defined in lower case  Cannot be used as identifiers  Example:initial, assign, module, always….