SlideShare a Scribd company logo
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 1
22 bd Benoni Goullin
44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr
Samsung ePLP for the Exynos 9110
First multi die HVM FOPLP in a PoP configuration for consumer application
Packaging report by Stéphane ELISABETH
November 2018 – version 1
REVERSE COSTING® – STRUCTURAL, PROCESS & COST REPORT
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 2
Table of Contents
Overview / Introduction 4
o Executive Summary
o Reverse Costing Methodology
Company Profile 8
o Samsung
o Fan-Out Packaging
o Samsung’s FOPLP Technology
o Samsung Galaxy Watch Teardown
Market Analysis 21
o Samsung Positions among Players
o FOPLP Market Forecast
Physical Analysis 24
o Summary of the Physical Analysis 25
o Package Assembly 27
 Module Views & Dimensions
 RDL Deprocessing
 Package X-Ray Views
 Memory Package Opening
 Package Opening: PCB Frame, Dies
 Board Cross-Section
 Package Cross-Section: PCB Frame, RDLs, UBMs
 FOPLP Process
o APE Die 58
 Die View & Dimensions
 Die Cross-Section
 Die Process Characteristic
o PMIC Die 65
 Die View & Dimensions
 Die Cross-Section
 Die Process Characteristic
Physical Comparison: Samsung ePLP vs. PoP and FO SiP 70
o PoP Techonlogy: Shinko’s MCeP, TSMC’s inFO
o FO-SiP: Nepes’s RCP SiP
Manufacturing Process 80
o APE Die Front-End Process & Fabrication Unit
o PMIC Die Front-End Process & Fabrication Unit
o ePLP packaging Process Flow
o Final Test & Packaging Fabrication unit
o Summary of the main parts
Cost Analysis 93
o Summary of the cost analysis 94
o Yields Explanation & Hypotheses 96
o APE & PMIC die 98
 Front-End Wafer Cost
 Wafer Preparation Cost
 Die Cost
o ePLP Package Cost 106
 Package Manufacturing Cost
 Package manufaturing cost per steps
 Components Cost
Feedbacks 110
SystemPlus Consulting services 123
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 3
Overview / Introduction
o Executive Summary
o Reverse Costing Methodology
o Glossary
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Executive Summary
This full reverse costing study has been conducted to provide insight on technology data, manufacturing cost and selling price
of the Samsung Exynos 9110.
This complete tiny solution is integrated on the main board of the Samsung Galaxy Watch. The module includes the Exynos
9110 application processor, and a Samsung’s Power management system all in a single package smaller than 80 mm². This is
the second multi die fan-out device than we have found in the market, but the first from such large company which could be a
key milestone for Fan-Out SiP technology.
The system uses an innovative panel-level packaging developed by Samsung. It has innovative interconnections, enabling a
Package-on-package (PoP) configuration with Samsung’s in-house DRAM memory Chip. A Fan-Out substrate used in a panel
configuration allows memory stacking. The Fan-Out Substrate, the PMIC and the APE are embedded in a mold substrate on four
redistribution layers (RDL).
Dedicated to a smart watch application, the module has to be extremely power efficient with a low z-height and a good
thermal dissipation feature. Thanks to the ePLP packaging technology applied to this SiP, Samsung could realize the smaller
form factor, lowest power and highest performance solution on the market.
The report will include a complete analysis of the SiP, featuring die analyses, processes and package cross-sections. It will also
include a comparison with Nepes’ Redistributed Chip Packaging (RCP) technology applied in the NXP SCM-i.MX6Q, TSMC’s inFO
technology applied to the Apple A11 and Shinko’s MCeP applied to the Qualcomm Snapdragon 845.
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 4
Overview / Introduction
Company Profile & Supply Chain
o Samsung
o Exynos 9 Series
o Fan-Out Packaging
o Samsung’s ePLP
o Samsung Galaxy Watch
Teardown
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Samsung FO-PLP Packaging
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 5
Overview / Introduction
Company Profile & Supply Chain
o Samsung
o Exynos 9 Series
o Fan-Out Packaging
o Samsung’s ePLP
o Samsung Galaxy Watch
Teardown
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Samsung Galaxy Watch Teardown
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 6
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
o Samsung Position
o FOPLP Market Forecast
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
FOPLP Market Forecast
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 7
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Summary of the Physical Analysis
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 8
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Package Veiw & Dimensions
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 9
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Package Overview – RDL #1
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 10
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Memory Package Opening
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 11
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Board Cross-Section
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 12
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Package Cross-Section – RDL
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 13
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
FOPLP Process
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 14
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
APE Die Cross-Section – Transistors
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 15
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
o Package Assembly
o Views & Dimensions
o RDL Deprocessing
o Opening
o Cross-Section
o Process & Synthesis
o APE Die
o Views & Dimensions
o Die Cross-section
o PMIC Die
o Views & Dimensions
o Die Cross-section
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
PMIC Die Views & Marking
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 16
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
o PoP Solution
o Shinko’s MCeP
o TSMC’s inFO
o PoP Technology Synthesis
o FO-SiP Soution
o Nepes’s RCP SiP
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Comparison with PoP Solutions – TSMC’s inFO
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 17
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
o PoP Solution
o Shinko’s MCeP
o TSMC’s inFO
o PoP Technology Synthesis
o FO-SiP Soution
o Nepes’s RCP SiP
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Package Comparison – PoP Technology
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 18
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
o PoP Solution
o Shinko’s MCeP
o TSMC’s inFO
o PoP Technology Synthesis
o FO-SiP Soution
o Nepes’s RCP SiP
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
Package Comparison – FO-SiP
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 19
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
o APE Die Front-End Process
o APE Fabrication Unit
o PMIC Die Front-End Process
o PMIC Fabrication Unit
o ePLP Package Process Flow
o Final Test & Assembly Unit
Cost Analysis
Related Reports
About System Plus
Packaging Process Flow
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 20
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
o APE Die Front-End Process
o APE Fabrication Unit
o PMIC Die Front-End Process
o PMIC Fabrication Unit
o ePLP Package Process Flow
o Final Test & Assembly Unit
Cost Analysis
Related Reports
About System Plus
FOPLP – Process Flow (2/5)
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 21
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
o Cost Analysis Summary
o Yields Explanation &
Hypotheses
o APE & PMIC Wafer FE Cost
o APE & PMIC Prep. Wafer Cost
o APE & PMIC Die Cost
o ePLP Packaging Cost
o Component Cost
Related Reports
About System Plus
ePLP Packaging process
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 22
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
REVERSE COSTING ANALYSES - SYSTEM PLUS CONSULTING
Related Reports
MARKET AND TECHNOLOGY REPORTS - YOLE DÉVELOPPEMENT
ADVANCED PACKAGING
• 3D TSV and 2.5D Business Update - Market and Technology Trends
2017
• Equipment and Materials for 3D TSV Applications 2017
PATENT ANALYSIS - KNOWMADE
ADVANCED PACKAGING
• TSV Stacked Memory Patent Landscape Analysis
REVERSE COSTING ANALYSES - SYSTEM PLUS CONSULTING
PACKAGING
• NXP SCM-iMX6Q RCP Fan-Out SiP
• Apple A11 with second generation of TSMC’s inFO
• Samsung Galaxy S9’s Application Processor: Exynos 9810 vs.
Qualcomm Snapdragon 845
• Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 23
SystemPlus
Consulting
SERVICES
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 24
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
o Company services
o Contact
Business Models Fields of Expertise
Custom Analyses
(>130 analyses per year)
Reports
(>40 reports per year)
Costing Tools
Trainings
©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 25
Overview / Introduction
Company Profile & Supply Chain
Market Analysis
Physical Analysis
Physical Comparison
Manufacturing Process Flow
Cost Analysis
Related Reports
About System Plus
o Company services
o Contact
Contact
Headquarters
22 bd Benoni Goullin
44200 Nantes
FRANCE
+33 2 40 18 09 16
sales@systemplus.fr
Europe Sales Office
Lizzie LEVENEZ
Frankfurt am Main
GERMANY
+49 151 23 54 41 82
llevenez@systemplus.fr
America Sales Office
Steve LAFERRIERE
Phoenix, AZ
WESTERN US
T : +1 310 600 8267
laferriere@yole.fr
Troy Blanchette
EASTERN US
T : +1 704 859 0456
troy.blanchette@yole.fr
www.systemplus.fr
Asia Sales Office
Takashi ONOZAWA
Tokyo
JAPAN
T : +81 804 371 4887
onozawa@yole.fr
Mavis WANG
TAIWAN
T :+886 979 336 809
wang@yole.fr
NANTES
Headquarter
FRANKFURT/MAIN
Europe Sales Office
LYON
YOLE HQ
TOKYO
YOLE KK
GREATER CHINA
YOLE
PHOENIX
YOLE Inc.
KOREA
YOLE
ORDER FORM
Please process my order for “Samsung Exynos 9110 with ePLP: First
Generation of Samsung’s Fan-Out Panel Level Packaging (FO-PLP)”
Reverse Costing® – Structure, Process & Cost Report
Ref: SP18444
 Full Structure, Process & Cost Report : EUR 3,990*
 Annual Subscription offers possible from 3 reports, including this
report as the first of the year. Contact us for more information.
SHIP TO
Name (Mr/Ms/Dr/Pr): .............................................................
Job Title: …….............................................................................
Company: ….............................................................................
Address: …….............................................................................
City: ………………………………… State: ..........................................
Postcode/Zip: ..........................................................................
Country: ……............................................................................
VAT ID Number for EU members: ..........................................
Tel: ……………….........................................................................
Email: .....................................................................................
Date: ......................................................................................
Signature: ..............................................................................
BILLING CONTACT
First Name : ............................................................................
Last Name: …….......................................................................
Email: …..................................................................................
Phone: ……..............................................................................
PAYMENT
By credit card:
Number: |__|__|__|__| |__|__|__|__| |__|__|__|__|
|__|__|__|__|
Expiration date: |__|__|/|__|__|
Card Verification Value: |__|__|__|
By bank transfer:
HSBC - CAE- Le Terminal -2 rue du Charron - 44800 St Herblain France
BIC code: CCFRFRPP
• In EUR
Bank code : 30056 - Branch code : 00955 - Account :
09550003234
IBAN: FR76 3005 6009 5509 5500 0323 439
• In USD
Bank code : 30056 - Branch code : 00955 - Account :
09550003247
IBAN: FR76 3005 6009 5509 5500 0324 797
REVERSE COSTING® – STRUCTURE, PROCESS & COST REPORT
SAMSUNG EXYNOS 9110 WITH EPLP:
FIRST GENERATION OF SAMSUNG’S FO-PLP
Each year System Plus Consulting
releases a comprehensive collection
of new reverse engineering and
costing analyses in various domains.
You can choose to buy over 12
months a set of 3, 4, 5, 7, 10 or 15
Reverse Costing® reports.
Up to 47% discount!
More than 60 reports released each
year on the following topics
(considered for 2018):
• MEMS & Sensors: Accelerometer
– Environment - Fingerprint - Gas
- Gyroscope - IMU/Combo -
Microphone - Optics - Oscillator -
Pressure
• Power: GaN - IGBT - MOSFET - Si
Diode - SiC
• Imaging: Camera - Spectrometer
• LED and Laser: UV LED – VCSEL -
White/blue LED
• Packaging: 3D Packaging -
Embedded - SIP - WLP
• Integrated Circuits: IPD –
Memories – PMIC - SoC
• RF: FEM - Duplexer
• Systems: Automotive - Consumer
- Energy - Telecom
ANNUAL SUBSCRIPTIONS
Return order by:
FAX: +33 2 53 55 10 59
MAIL: SYSTEM PLUS CONSULTING
22, bd Benoni Goullin
Nantes Biotech
44200 Nantes – France
EMAIL: sales@systemplus.fr
*For price in dollars please use the day’s
exchange rate
*All reports are delivered electronically in
pdf format
*For French customer, add 20 % for VAT
*Our prices are subject to change. Please
check our new releases and price
changes on www.systemplus.fr. The
present document is valid 6 months after
its publishing date: November 2018
1.INTRODUCTION
The present terms and conditions apply to the offers, sales and deliveries of services managed by System Plus
Consulting except in the case of a particular written agreement.
Buyer must note that placing an order means an agreement without any restriction with these terms and conditions.
2.PRICES
Prices of the purchased services are those which are in force on the date the order is placed. Prices are in Euros and
worked out without taxes. Consequently, the taxes and possible added costs agreed when the order is placed will be
charged on these initial prices.
System Plus Consulting may change its prices whenever the company thinks it necessary. However, the company
commits itself in invoicing at the prices in force on the date the order is placed.
3.REBATES and DISCOUNTS
The quoted prices already include the rebates and discounts that System Plus Consulting could have granted according
to the number of orders placed by the Buyer, or other specific conditions. No discount is granted in case of early
payment.
4.TERMS OF PAYMENT
System Plus Consulting delivered services are to be paid within 30 days end of month by bank transfer except in the
case of a particular written agreement.
If the payment does not reach System Plus Consulting on the deadline, the Buyer has to pay System Plus Consulting a
penalty for late payment the amount of which is three times the legal interest rate. The legal interest rate is the
current one on the delivery date. This penalty is worked out on the unpaid invoice amount, starting from the invoice
deadline. This penalty is sent without previous notice.
When payment terms are over 30 days end of month, the Buyer has to pay a deposit which amount is 10% of the
total invoice amount when placing his order.
5. OWNERSHIP
System Plus Consulting remains sole owner of the delivered services until total payment of the invoice.
6.DELIVERIES
The delivery schedule on the purchase order is given for information only and cannot be strictly guaranteed.
Consequently any reasonable delay in the delivery of services will not allow the buyer to claim for damages or to
cancel the order.
7.ENTRUSTED GOODS SHIPMENT
The transport costs and risks are fully born by the Buyer. Should the customer wish to ensure the goods against lost or
damage on the base of their real value, he must imperatively point it out to System Plus Consulting when the
shipment takes place. Without any specific requirement, insurance terms for the return of goods will be the carrier
current ones (reimbursement based on good weight instead of the real value).
8.FORCE MAJEURE
System Plus Consulting responsibility will not be involved in non execution or late delivery of one of its duties
described in the current terms and conditions if these are the result of a force majeure case. Therefore, the force
majeure includes all external event unpredictable and irresistible as defined by the article 1148 of the French Code
Civil?
9.CONFIDENTIALITY
As a rule, all information handed by customers to system Plus Consulting are considered as strictly confidential.
A non-disclosure agreement can be signed on demand.
10.RESPONSABILITY LIMITATION
The Buyer is responsible for the use and interpretations he makes of the reports delivered by System Plus Consulting.
Consequently, System Plus Consulting responsibility can in no case be called into question for any direct or indirect
damage, financial or otherwise, that may result from the use of the results of our analysis or results obtained using
one of our costing tools.
11.APPLICABLE LAW
Any dispute that may arise about the interpretation or execution of the current terms and conditions shall be resolved
applying the French law.
It the dispute cannot be settled out-of-court, the competent Court will be the Tribunal de Commerce de Nantes.
TERMS AND CONDITIONS OF SALES

More Related Content

What's hot

prepreg and Resin transfer molding
prepreg and Resin transfer moldingprepreg and Resin transfer molding
prepreg and Resin transfer molding
SaimaBrohi2
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Yole Developpement
 
Samsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile MemorySamsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile Memory
system_plus
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Yole Developpement
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Yole Developpement
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Yole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Yole Developpement
 
Fibre Reinforced Plastic manufacturing methods
Fibre Reinforced Plastic manufacturing methodsFibre Reinforced Plastic manufacturing methods
Fibre Reinforced Plastic manufacturing methods
jeff jose
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement
Yole Developpement
 
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Yole Developpement
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole Développement
Yole Developpement
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Yole Developpement
 
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGINGHIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
iQHub
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...Yole Developpement
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
Yole Developpement
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Yole Developpement
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Yole Developpement
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
Yole Developpement
 
Aerogels
AerogelsAerogels

What's hot (20)

prepreg and Resin transfer molding
prepreg and Resin transfer moldingprepreg and Resin transfer molding
prepreg and Resin transfer molding
 
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
 
Samsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile MemorySamsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile Memory
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
 
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement	Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
Status of the Advanced Packaging Industry 2018 Report by Yole Developpement
 
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
Fan-Out Packaging: Technologies and Market Trends 2019 report by Yole Dévelop...
 
Fibre Reinforced Plastic manufacturing methods
Fibre Reinforced Plastic manufacturing methodsFibre Reinforced Plastic manufacturing methods
Fibre Reinforced Plastic manufacturing methods
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement
 
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
Status of Panel-Level Packaging & Manufacturing 2015 Report by Yole Developpe...
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole Développement
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
 
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGINGHIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
HIGH-TECH THERMOPLASTICS AND SUSTAINABLE SOLUTIONS FOR EV CHARGING
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
Aerogels
AerogelsAerogels
Aerogels
 

Similar to Samsung Exynos 9110 with ePLP: First Generation of Samsung’s Fan-Out Panel Level Packaging (FO-PLP)

2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...
Yole Developpement
 
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
system_plus
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
system_plus
 
Advanced packaging technology in the Apple Watch Series 4’s System-in-Package
Advanced packaging technology in the Apple Watch Series 4’s System-in-PackageAdvanced packaging technology in the Apple Watch Series 4’s System-in-Package
Advanced packaging technology in the Apple Watch Series 4’s System-in-Package
system_plus
 
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 SeriesBroadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
system_plus
 
Qorvo QPF4006 39GHz GaN MMIC Front End Module
Qorvo QPF4006 39GHz GaN MMIC Front End ModuleQorvo QPF4006 39GHz GaN MMIC Front End Module
Qorvo QPF4006 39GHz GaN MMIC Front End Module
system_plus
 
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
system_plus
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
system_plus
 
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
system_plus
 
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
Yole Developpement
 
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE InverterSPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
system_plus
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiD
system_plus
 
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
system_plus
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Yole Developpement
 
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
Yole Developpement
 
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
Yole Developpement
 
Xaar 1201 GS2p5 PZT Printhead
Xaar 1201 GS2p5 PZT PrintheadXaar 1201 GS2p5 PZT Printhead
Xaar 1201 GS2p5 PZT Printhead
system_plus
 
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
Yole Developpement
 
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
system_plus
 
LG Display Medianav ECU Available in the Dacia Duster
LG Display Medianav ECU Available in the Dacia DusterLG Display Medianav ECU Available in the Dacia Duster
LG Display Medianav ECU Available in the Dacia Duster
system_plus
 

Similar to Samsung Exynos 9110 with ePLP: First Generation of Samsung’s Fan-Out Panel Level Packaging (FO-PLP) (20)

2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...
 
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
Qualcomm QCA9500 60 GHz Chipset - reverse costing report published by System ...
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
 
Advanced packaging technology in the Apple Watch Series 4’s System-in-Package
Advanced packaging technology in the Apple Watch Series 4’s System-in-PackageAdvanced packaging technology in the Apple Watch Series 4’s System-in-Package
Advanced packaging technology in the Apple Watch Series 4’s System-in-Package
 
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 SeriesBroadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
Broadcom AFEM-8100 System-in-Package in the Apple iPhone 11 Series
 
Qorvo QPF4006 39GHz GaN MMIC Front End Module
Qorvo QPF4006 39GHz GaN MMIC Front End ModuleQorvo QPF4006 39GHz GaN MMIC Front End Module
Qorvo QPF4006 39GHz GaN MMIC Front End Module
 
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
Sensirion SGP30 Gas Sensor 2018 - teardown reverse costing report published b...
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
 
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
 
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
EPC2045 100V GaN-on-Silicon Transistor 2017 teardown reverse costing report p...
 
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE InverterSPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
SPR21610 - Vitesco Technologies Power Module in Jaguar I-PACE Inverter
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiD
 
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
 
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
Fingerprint Cards’ FPC1268 in the Huawei Mate 9 Pro & P10 series 2017 teardow...
 
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
Texas Instruments’ Time of Flight Image Sensor 2017 teardown reverse costing ...
 
Xaar 1201 GS2p5 PZT Printhead
Xaar 1201 GS2p5 PZT PrintheadXaar 1201 GS2p5 PZT Printhead
Xaar 1201 GS2p5 PZT Printhead
 
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
Panasonic 600 V GaN HEMT PGA26E19BA 2017 teardown reverse costing report publ...
 
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
STMicroelectronics Proximity & Flood Illuminator in the Apple iPhone X - reve...
 
LG Display Medianav ECU Available in the Dacia Duster
LG Display Medianav ECU Available in the Dacia DusterLG Display Medianav ECU Available in the Dacia Duster
LG Display Medianav ECU Available in the Dacia Duster
 

More from system_plus

Tesla UBQ01B0 FSD Chip
Tesla UBQ01B0 FSD ChipTesla UBQ01B0 FSD Chip
Tesla UBQ01B0 FSD Chip
system_plus
 
SP20569 - IRay T3S Thermal Camera for Smartphone
SP20569 - IRay T3S Thermal Camera for SmartphoneSP20569 - IRay T3S Thermal Camera for Smartphone
SP20569 - IRay T3S Thermal Camera for Smartphone
system_plus
 
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G ChipsetRF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
system_plus
 
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on AppleRF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
system_plus
 
Apple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and AntennaApple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and Antenna
system_plus
 
InnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical TransceiverInnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical Transceiver
system_plus
 
EPC2152 half bridge Monolithic GaN IC
EPC2152 half bridge Monolithic GaN ICEPC2152 half bridge Monolithic GaN IC
EPC2152 half bridge Monolithic GaN IC
system_plus
 
Microsoft - Holographic Lens from Hololens 2
Microsoft - Holographic Lens from Hololens 2Microsoft - Holographic Lens from Hololens 2
Microsoft - Holographic Lens from Hololens 2
system_plus
 
ams’ NanEye Mini Camera
ams’ NanEye Mini Cameraams’ NanEye Mini Camera
ams’ NanEye Mini Camera
system_plus
 
Axis P1375-E Network Camera
Axis P1375-E Network CameraAxis P1375-E Network Camera
Axis P1375-E Network Camera
system_plus
 
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
system_plus
 
STMicroelectronics MASTERGAN1 Half-Bridge Driver
STMicroelectronics MASTERGAN1 Half-Bridge DriverSTMicroelectronics MASTERGAN1 Half-Bridge Driver
STMicroelectronics MASTERGAN1 Half-Bridge Driver
system_plus
 
Micron LPDDR5 12GB Mobile Memory
Micron LPDDR5 12GB Mobile MemoryMicron LPDDR5 12GB Mobile Memory
Micron LPDDR5 12GB Mobile Memory
system_plus
 
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End AccelerometersSafran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
system_plus
 
Sensonor STIM318 Inertial Measurement Unit (IMU)
Sensonor STIM318 Inertial Measurement Unit (IMU)Sensonor STIM318 Inertial Measurement Unit (IMU)
Sensonor STIM318 Inertial Measurement Unit (IMU)
system_plus
 
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDARHamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
system_plus
 
Everspin EMD3D256M STTMRAM Memory
Everspin EMD3D256M STTMRAM MemoryEverspin EMD3D256M STTMRAM Memory
Everspin EMD3D256M STTMRAM Memory
system_plus
 
Spectral Engines Nirone Sensor X
Spectral Engines Nirone Sensor XSpectral Engines Nirone Sensor X
Spectral Engines Nirone Sensor X
system_plus
 
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar ChipsetMediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
system_plus
 
Goodix’s Ultra-Thin Optical In-Display Fingerprint
Goodix’s Ultra-Thin Optical In-Display FingerprintGoodix’s Ultra-Thin Optical In-Display Fingerprint
Goodix’s Ultra-Thin Optical In-Display Fingerprint
system_plus
 

More from system_plus (20)

Tesla UBQ01B0 FSD Chip
Tesla UBQ01B0 FSD ChipTesla UBQ01B0 FSD Chip
Tesla UBQ01B0 FSD Chip
 
SP20569 - IRay T3S Thermal Camera for Smartphone
SP20569 - IRay T3S Thermal Camera for SmartphoneSP20569 - IRay T3S Thermal Camera for Smartphone
SP20569 - IRay T3S Thermal Camera for Smartphone
 
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G ChipsetRF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
RF Front-End Module Comparison 2021 – Vol. 2 – Focus on 5G Chipset
 
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on AppleRF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
RF Front-End Module Comparison 2021 – Vol. 1 – Focus on Apple
 
Apple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and AntennaApple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and Antenna
 
InnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical TransceiverInnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical Transceiver
 
EPC2152 half bridge Monolithic GaN IC
EPC2152 half bridge Monolithic GaN ICEPC2152 half bridge Monolithic GaN IC
EPC2152 half bridge Monolithic GaN IC
 
Microsoft - Holographic Lens from Hololens 2
Microsoft - Holographic Lens from Hololens 2Microsoft - Holographic Lens from Hololens 2
Microsoft - Holographic Lens from Hololens 2
 
ams’ NanEye Mini Camera
ams’ NanEye Mini Cameraams’ NanEye Mini Camera
ams’ NanEye Mini Camera
 
Axis P1375-E Network Camera
Axis P1375-E Network CameraAxis P1375-E Network Camera
Axis P1375-E Network Camera
 
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
Hikvision Intelligent Thermal Network Camera (DS-2TD2166-15 V1)
 
STMicroelectronics MASTERGAN1 Half-Bridge Driver
STMicroelectronics MASTERGAN1 Half-Bridge DriverSTMicroelectronics MASTERGAN1 Half-Bridge Driver
STMicroelectronics MASTERGAN1 Half-Bridge Driver
 
Micron LPDDR5 12GB Mobile Memory
Micron LPDDR5 12GB Mobile MemoryMicron LPDDR5 12GB Mobile Memory
Micron LPDDR5 12GB Mobile Memory
 
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End AccelerometersSafran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
Safran Colibrys MS1010 and MEMSIC MXA2500M High-End Accelerometers
 
Sensonor STIM318 Inertial Measurement Unit (IMU)
Sensonor STIM318 Inertial Measurement Unit (IMU)Sensonor STIM318 Inertial Measurement Unit (IMU)
Sensonor STIM318 Inertial Measurement Unit (IMU)
 
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDARHamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
Hamamatsu Photodiode and Laser in Livox’s Horizon LiDAR
 
Everspin EMD3D256M STTMRAM Memory
Everspin EMD3D256M STTMRAM MemoryEverspin EMD3D256M STTMRAM Memory
Everspin EMD3D256M STTMRAM Memory
 
Spectral Engines Nirone Sensor X
Spectral Engines Nirone Sensor XSpectral Engines Nirone Sensor X
Spectral Engines Nirone Sensor X
 
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar ChipsetMediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
Mediatek Autus R10 (MT2706) 77/79 GHz eWLB/AiP Radar Chipset
 
Goodix’s Ultra-Thin Optical In-Display Fingerprint
Goodix’s Ultra-Thin Optical In-Display FingerprintGoodix’s Ultra-Thin Optical In-Display Fingerprint
Goodix’s Ultra-Thin Optical In-Display Fingerprint
 

Recently uploaded

Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
Dorra BARTAGUIZ
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
UiPathCommunity
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
Paul Groth
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
Alan Dix
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
Product School
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
Alison B. Lowndes
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
Safe Software
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
Kari Kakkonen
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
DanBrown980551
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
BookNet Canada
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
Prayukth K V
 
Generating a custom Ruby SDK for your web service or Rails API using Smithy
Generating a custom Ruby SDK for your web service or Rails API using SmithyGenerating a custom Ruby SDK for your web service or Rails API using Smithy
Generating a custom Ruby SDK for your web service or Rails API using Smithy
g2nightmarescribd
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
Guy Korland
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
Product School
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 

Recently uploaded (20)

Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
 
Generating a custom Ruby SDK for your web service or Rails API using Smithy
Generating a custom Ruby SDK for your web service or Rails API using SmithyGenerating a custom Ruby SDK for your web service or Rails API using Smithy
Generating a custom Ruby SDK for your web service or Rails API using Smithy
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 

Samsung Exynos 9110 with ePLP: First Generation of Samsung’s Fan-Out Panel Level Packaging (FO-PLP)

  • 1. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 1 22 bd Benoni Goullin 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr Samsung ePLP for the Exynos 9110 First multi die HVM FOPLP in a PoP configuration for consumer application Packaging report by Stéphane ELISABETH November 2018 – version 1 REVERSE COSTING® – STRUCTURAL, PROCESS & COST REPORT
  • 2. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 2 Table of Contents Overview / Introduction 4 o Executive Summary o Reverse Costing Methodology Company Profile 8 o Samsung o Fan-Out Packaging o Samsung’s FOPLP Technology o Samsung Galaxy Watch Teardown Market Analysis 21 o Samsung Positions among Players o FOPLP Market Forecast Physical Analysis 24 o Summary of the Physical Analysis 25 o Package Assembly 27  Module Views & Dimensions  RDL Deprocessing  Package X-Ray Views  Memory Package Opening  Package Opening: PCB Frame, Dies  Board Cross-Section  Package Cross-Section: PCB Frame, RDLs, UBMs  FOPLP Process o APE Die 58  Die View & Dimensions  Die Cross-Section  Die Process Characteristic o PMIC Die 65  Die View & Dimensions  Die Cross-Section  Die Process Characteristic Physical Comparison: Samsung ePLP vs. PoP and FO SiP 70 o PoP Techonlogy: Shinko’s MCeP, TSMC’s inFO o FO-SiP: Nepes’s RCP SiP Manufacturing Process 80 o APE Die Front-End Process & Fabrication Unit o PMIC Die Front-End Process & Fabrication Unit o ePLP packaging Process Flow o Final Test & Packaging Fabrication unit o Summary of the main parts Cost Analysis 93 o Summary of the cost analysis 94 o Yields Explanation & Hypotheses 96 o APE & PMIC die 98  Front-End Wafer Cost  Wafer Preparation Cost  Die Cost o ePLP Package Cost 106  Package Manufacturing Cost  Package manufaturing cost per steps  Components Cost Feedbacks 110 SystemPlus Consulting services 123
  • 3. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 3 Overview / Introduction o Executive Summary o Reverse Costing Methodology o Glossary Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Executive Summary This full reverse costing study has been conducted to provide insight on technology data, manufacturing cost and selling price of the Samsung Exynos 9110. This complete tiny solution is integrated on the main board of the Samsung Galaxy Watch. The module includes the Exynos 9110 application processor, and a Samsung’s Power management system all in a single package smaller than 80 mm². This is the second multi die fan-out device than we have found in the market, but the first from such large company which could be a key milestone for Fan-Out SiP technology. The system uses an innovative panel-level packaging developed by Samsung. It has innovative interconnections, enabling a Package-on-package (PoP) configuration with Samsung’s in-house DRAM memory Chip. A Fan-Out substrate used in a panel configuration allows memory stacking. The Fan-Out Substrate, the PMIC and the APE are embedded in a mold substrate on four redistribution layers (RDL). Dedicated to a smart watch application, the module has to be extremely power efficient with a low z-height and a good thermal dissipation feature. Thanks to the ePLP packaging technology applied to this SiP, Samsung could realize the smaller form factor, lowest power and highest performance solution on the market. The report will include a complete analysis of the SiP, featuring die analyses, processes and package cross-sections. It will also include a comparison with Nepes’ Redistributed Chip Packaging (RCP) technology applied in the NXP SCM-i.MX6Q, TSMC’s inFO technology applied to the Apple A11 and Shinko’s MCeP applied to the Qualcomm Snapdragon 845.
  • 4. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 4 Overview / Introduction Company Profile & Supply Chain o Samsung o Exynos 9 Series o Fan-Out Packaging o Samsung’s ePLP o Samsung Galaxy Watch Teardown Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Samsung FO-PLP Packaging
  • 5. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 5 Overview / Introduction Company Profile & Supply Chain o Samsung o Exynos 9 Series o Fan-Out Packaging o Samsung’s ePLP o Samsung Galaxy Watch Teardown Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Samsung Galaxy Watch Teardown
  • 6. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 6 Overview / Introduction Company Profile & Supply Chain Market Analysis o Samsung Position o FOPLP Market Forecast Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus FOPLP Market Forecast
  • 7. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 7 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Summary of the Physical Analysis
  • 8. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 8 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Package Veiw & Dimensions
  • 9. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 9 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Package Overview – RDL #1
  • 10. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 10 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Memory Package Opening
  • 11. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 11 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Board Cross-Section
  • 12. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 12 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus Package Cross-Section – RDL
  • 13. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 13 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus FOPLP Process
  • 14. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 14 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus APE Die Cross-Section – Transistors
  • 15. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 15 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis o Package Assembly o Views & Dimensions o RDL Deprocessing o Opening o Cross-Section o Process & Synthesis o APE Die o Views & Dimensions o Die Cross-section o PMIC Die o Views & Dimensions o Die Cross-section Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus PMIC Die Views & Marking
  • 16. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 16 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison o PoP Solution o Shinko’s MCeP o TSMC’s inFO o PoP Technology Synthesis o FO-SiP Soution o Nepes’s RCP SiP Manufacturing Process Flow Cost Analysis Related Reports About System Plus Comparison with PoP Solutions – TSMC’s inFO
  • 17. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 17 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison o PoP Solution o Shinko’s MCeP o TSMC’s inFO o PoP Technology Synthesis o FO-SiP Soution o Nepes’s RCP SiP Manufacturing Process Flow Cost Analysis Related Reports About System Plus Package Comparison – PoP Technology
  • 18. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 18 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison o PoP Solution o Shinko’s MCeP o TSMC’s inFO o PoP Technology Synthesis o FO-SiP Soution o Nepes’s RCP SiP Manufacturing Process Flow Cost Analysis Related Reports About System Plus Package Comparison – FO-SiP
  • 19. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 19 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow o APE Die Front-End Process o APE Fabrication Unit o PMIC Die Front-End Process o PMIC Fabrication Unit o ePLP Package Process Flow o Final Test & Assembly Unit Cost Analysis Related Reports About System Plus Packaging Process Flow
  • 20. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 20 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow o APE Die Front-End Process o APE Fabrication Unit o PMIC Die Front-End Process o PMIC Fabrication Unit o ePLP Package Process Flow o Final Test & Assembly Unit Cost Analysis Related Reports About System Plus FOPLP – Process Flow (2/5)
  • 21. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 21 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis o Cost Analysis Summary o Yields Explanation & Hypotheses o APE & PMIC Wafer FE Cost o APE & PMIC Prep. Wafer Cost o APE & PMIC Die Cost o ePLP Packaging Cost o Component Cost Related Reports About System Plus ePLP Packaging process
  • 22. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 22 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus REVERSE COSTING ANALYSES - SYSTEM PLUS CONSULTING Related Reports MARKET AND TECHNOLOGY REPORTS - YOLE DÉVELOPPEMENT ADVANCED PACKAGING • 3D TSV and 2.5D Business Update - Market and Technology Trends 2017 • Equipment and Materials for 3D TSV Applications 2017 PATENT ANALYSIS - KNOWMADE ADVANCED PACKAGING • TSV Stacked Memory Patent Landscape Analysis REVERSE COSTING ANALYSES - SYSTEM PLUS CONSULTING PACKAGING • NXP SCM-iMX6Q RCP Fan-Out SiP • Apple A11 with second generation of TSMC’s inFO • Samsung Galaxy S9’s Application Processor: Exynos 9810 vs. Qualcomm Snapdragon 845 • Intel’s Embedded Multi-Die Interconnect Bridge (EMIB)
  • 23. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 23 SystemPlus Consulting SERVICES
  • 24. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 24 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus o Company services o Contact Business Models Fields of Expertise Custom Analyses (>130 analyses per year) Reports (>40 reports per year) Costing Tools Trainings
  • 25. ©2018 by System Plus Consulting | Samsung ePLP for the Exynos 9110 25 Overview / Introduction Company Profile & Supply Chain Market Analysis Physical Analysis Physical Comparison Manufacturing Process Flow Cost Analysis Related Reports About System Plus o Company services o Contact Contact Headquarters 22 bd Benoni Goullin 44200 Nantes FRANCE +33 2 40 18 09 16 sales@systemplus.fr Europe Sales Office Lizzie LEVENEZ Frankfurt am Main GERMANY +49 151 23 54 41 82 llevenez@systemplus.fr America Sales Office Steve LAFERRIERE Phoenix, AZ WESTERN US T : +1 310 600 8267 laferriere@yole.fr Troy Blanchette EASTERN US T : +1 704 859 0456 troy.blanchette@yole.fr www.systemplus.fr Asia Sales Office Takashi ONOZAWA Tokyo JAPAN T : +81 804 371 4887 onozawa@yole.fr Mavis WANG TAIWAN T :+886 979 336 809 wang@yole.fr NANTES Headquarter FRANKFURT/MAIN Europe Sales Office LYON YOLE HQ TOKYO YOLE KK GREATER CHINA YOLE PHOENIX YOLE Inc. KOREA YOLE
  • 26. ORDER FORM Please process my order for “Samsung Exynos 9110 with ePLP: First Generation of Samsung’s Fan-Out Panel Level Packaging (FO-PLP)” Reverse Costing® – Structure, Process & Cost Report Ref: SP18444  Full Structure, Process & Cost Report : EUR 3,990*  Annual Subscription offers possible from 3 reports, including this report as the first of the year. Contact us for more information. SHIP TO Name (Mr/Ms/Dr/Pr): ............................................................. Job Title: ……............................................................................. Company: …............................................................................. Address: ……............................................................................. City: ………………………………… State: .......................................... Postcode/Zip: .......................................................................... Country: ……............................................................................ VAT ID Number for EU members: .......................................... Tel: ………………......................................................................... Email: ..................................................................................... Date: ...................................................................................... Signature: .............................................................................. BILLING CONTACT First Name : ............................................................................ Last Name: ……....................................................................... Email: ….................................................................................. Phone: …….............................................................................. PAYMENT By credit card: Number: |__|__|__|__| |__|__|__|__| |__|__|__|__| |__|__|__|__| Expiration date: |__|__|/|__|__| Card Verification Value: |__|__|__| By bank transfer: HSBC - CAE- Le Terminal -2 rue du Charron - 44800 St Herblain France BIC code: CCFRFRPP • In EUR Bank code : 30056 - Branch code : 00955 - Account : 09550003234 IBAN: FR76 3005 6009 5509 5500 0323 439 • In USD Bank code : 30056 - Branch code : 00955 - Account : 09550003247 IBAN: FR76 3005 6009 5509 5500 0324 797 REVERSE COSTING® – STRUCTURE, PROCESS & COST REPORT SAMSUNG EXYNOS 9110 WITH EPLP: FIRST GENERATION OF SAMSUNG’S FO-PLP Each year System Plus Consulting releases a comprehensive collection of new reverse engineering and costing analyses in various domains. You can choose to buy over 12 months a set of 3, 4, 5, 7, 10 or 15 Reverse Costing® reports. Up to 47% discount! More than 60 reports released each year on the following topics (considered for 2018): • MEMS & Sensors: Accelerometer – Environment - Fingerprint - Gas - Gyroscope - IMU/Combo - Microphone - Optics - Oscillator - Pressure • Power: GaN - IGBT - MOSFET - Si Diode - SiC • Imaging: Camera - Spectrometer • LED and Laser: UV LED – VCSEL - White/blue LED • Packaging: 3D Packaging - Embedded - SIP - WLP • Integrated Circuits: IPD – Memories – PMIC - SoC • RF: FEM - Duplexer • Systems: Automotive - Consumer - Energy - Telecom ANNUAL SUBSCRIPTIONS Return order by: FAX: +33 2 53 55 10 59 MAIL: SYSTEM PLUS CONSULTING 22, bd Benoni Goullin Nantes Biotech 44200 Nantes – France EMAIL: sales@systemplus.fr *For price in dollars please use the day’s exchange rate *All reports are delivered electronically in pdf format *For French customer, add 20 % for VAT *Our prices are subject to change. Please check our new releases and price changes on www.systemplus.fr. The present document is valid 6 months after its publishing date: November 2018
  • 27. 1.INTRODUCTION The present terms and conditions apply to the offers, sales and deliveries of services managed by System Plus Consulting except in the case of a particular written agreement. Buyer must note that placing an order means an agreement without any restriction with these terms and conditions. 2.PRICES Prices of the purchased services are those which are in force on the date the order is placed. Prices are in Euros and worked out without taxes. Consequently, the taxes and possible added costs agreed when the order is placed will be charged on these initial prices. System Plus Consulting may change its prices whenever the company thinks it necessary. However, the company commits itself in invoicing at the prices in force on the date the order is placed. 3.REBATES and DISCOUNTS The quoted prices already include the rebates and discounts that System Plus Consulting could have granted according to the number of orders placed by the Buyer, or other specific conditions. No discount is granted in case of early payment. 4.TERMS OF PAYMENT System Plus Consulting delivered services are to be paid within 30 days end of month by bank transfer except in the case of a particular written agreement. If the payment does not reach System Plus Consulting on the deadline, the Buyer has to pay System Plus Consulting a penalty for late payment the amount of which is three times the legal interest rate. The legal interest rate is the current one on the delivery date. This penalty is worked out on the unpaid invoice amount, starting from the invoice deadline. This penalty is sent without previous notice. When payment terms are over 30 days end of month, the Buyer has to pay a deposit which amount is 10% of the total invoice amount when placing his order. 5. OWNERSHIP System Plus Consulting remains sole owner of the delivered services until total payment of the invoice. 6.DELIVERIES The delivery schedule on the purchase order is given for information only and cannot be strictly guaranteed. Consequently any reasonable delay in the delivery of services will not allow the buyer to claim for damages or to cancel the order. 7.ENTRUSTED GOODS SHIPMENT The transport costs and risks are fully born by the Buyer. Should the customer wish to ensure the goods against lost or damage on the base of their real value, he must imperatively point it out to System Plus Consulting when the shipment takes place. Without any specific requirement, insurance terms for the return of goods will be the carrier current ones (reimbursement based on good weight instead of the real value). 8.FORCE MAJEURE System Plus Consulting responsibility will not be involved in non execution or late delivery of one of its duties described in the current terms and conditions if these are the result of a force majeure case. Therefore, the force majeure includes all external event unpredictable and irresistible as defined by the article 1148 of the French Code Civil? 9.CONFIDENTIALITY As a rule, all information handed by customers to system Plus Consulting are considered as strictly confidential. A non-disclosure agreement can be signed on demand. 10.RESPONSABILITY LIMITATION The Buyer is responsible for the use and interpretations he makes of the reports delivered by System Plus Consulting. Consequently, System Plus Consulting responsibility can in no case be called into question for any direct or indirect damage, financial or otherwise, that may result from the use of the results of our analysis or results obtained using one of our costing tools. 11.APPLICABLE LAW Any dispute that may arise about the interpretation or execution of the current terms and conditions shall be resolved applying the French law. It the dispute cannot be settled out-of-court, the competent Court will be the Tribunal de Commerce de Nantes. TERMS AND CONDITIONS OF SALES