SlideShare a Scribd company logo
General Routing Overview
and Channel Routing
Shantanu Dutt
ECE Dept.
UIC
References and Copyright
(cont.)
• Slides used: (Modified by Shantanu Dutt when necessary)
– [©Sarrafzadeh] © Majid Sarrafzadeh, 2001;
Department of Computer Science, UCLA
– [©Sherwani] © Naveed A. Sherwani, 1992
(companion slides to [She99])
– [©Keutzer] © Kurt Keutzer, Dept. of EECS,
UC-Berekeley
http://www-cad.eecs.berkeley.edu/~niraj/ee244/index.htm
– [©Gupta] © Rajesh Gupta
UC-Irvine
http://www.ics.uci.edu/~rgupta/ics280.html
– [©Kang] © Steve Kang, UIUC http://www.ece.uiuc.edu/ece482/
– [©Bazargan] © Kia Bazargan
Routing
• Problem
– Given a placement, and a fixed number of metal layers, find a
valid pattern of horizontal and vertical wires that connect the
terminals of the nets
– Levels of abstraction:
• Global routing
• Detailed routing
• Objectives
– Cost components:
• Area (channel width) – min congestion in prev levels helped
• Wire delays – timing minimization in previous levels
• Number of layers (fewer layers  less expensive)
• Additional cost components: number of bends, vias
©Bazargan
Metal layer 1
Via
Routing Anatomy
Top
view
3D
view
Metal layer 2
Metal layer 3
Symbolic
Layout
Note: Colors used
in this slide are not
standard
©Bazargan
Global vs. Detailed Routing
• Global routing
– Input: detailed placement, with exact terminal
locations
– Determine “channel” (routing region) for each
net
– Objective: minimize area (congestion), and
timing (approximate)
• Detailed routing
– Input: channels and approximate routing from
the global routing phase
– Determine the exact route and layers for each
net
– Objective: valid routing, minimize area
(congestion), meet timing constraints
– Additional objectives: min via, power
Figs. [©Sherwani]
Taxonomy of VLSI Routers
[©Keutzer]
Graph Search
Steiner
Iterative
Hierarchical Greedy Left-Edge
River
Switchbox
Channel
Maze
Line Probe
Line Expansion
Restricted
General
Purpose
Clock
Specialized
Power/Gnd
Routers
DetailedGlobal
Maze
Global Routing
• Stages
– Routing region definition
– Routing region ordering
– Steiner-tree / area routing
• Grid
– Tiles super-imposed on placement
– Regular or irregular
– Smaller problem to solve,
higher level of abstraction
– Terminals at center of grid tiles
• Edge capacity
– Number of nets that can pass a certain
grid edge (aka congestion)
– On edge Eij,
Capacity(Eij) ≥ Congestion(Eij)
• Steiner routing is generally performed on the
routing graph using edge lengths as cost and
considering edge capacities
M1
M2
M3
[©Sarrafzadeh]
Grid Graph
• Course or fine-grain
• Vertices: routing regions, edges: route exists?
• Weights on edges
– How costly is to use that edge
– Could vary during the routing (e.g., for congestion)
– Horizontal / vertical might have different weights
[©Sherwani]
t1 t2 t3
t4
t1 t2 t3
t4
1 1 1
1 1 1
2 2 1 1
t1 t3
t4
t2
Global Routing – Graph Search
• Good for two-terminal nets
• Build grid graph (Coarse? Fine?)
• Use graph search algorithms, e.g., Dijkstra
• Iterative: route nets one by one
• How to handle:
– Congestion?
– Critical nets?
• Order of the nets to route?
– Net criticality
– Half-perimeter of the bounding box
– Number of terminals
©Bazargan
(4-side routing, requires Steiner
routing, NP-hard)
(2-side
routing,
solvable
optimally in
linear time
w/o vertical
constraints)
channels (blue)
covering adjacent
overlapping module
boundary pairs
switch-boxes
(maroon) in rest
if the areas
©Dutt for channel
& sw-box definition
in the left figure
• A cycle in the
VCG  an
unroutable
placement
unless a net
can be routed
on more than 1
track
• Otherwise,
depth of VCG is
lower bound on
channel density
Case 2a:
Closest non-ov
net to e crosses L
Case 2b:
Closest non-ov
net to e does not
cross L
L
e: Most recently
routed net
L’
e: Most recently
routed net
L
Optimality of the Left Edge Algorithm
Case 1: Max density line L cuts e Case 2: Max density line L does not cut e
• In Case 1, the density of L reduces by 1 after current track t (e is on t) is routed
• In Case 2, let e’ be the net not overlapping e & whose s(e’) is closest to e(e).
• Case 2a: If e’ crosses L, then since e’ will be on t, density of L reduces by 1 after t is routed
• Case 2b: If not, then the set S(L) of all other nets crossing L are overlapping w/ e (otherwise
one of them will be e’ and crossing L, and we will not be in Case 2b). Then there exists
another cut line L’ that cuts S(L) and e, and thus have density > density of L, and we reach a
contradiction (that L is the max density line)
• Thus after current track t is routed, the density of L reduces by 1. This applies to all max density
lines. Thus # of tracks needed = density of initial max density line which is a lower bound on #
tracks. Hence the Left-Edge algorithm is optimal in the # of tracks
e’
e’
s(e) e(e)
s(e’)
s(e’)
S(L)
©Dutt
Update the VCG by deleting all Ij ‘’s (and their arcs) routed in track t-1 > 0;
(no arcs in the
VCG incoming to Ij)
1a
2
1b
b
a
Acyclic VCG
Cyclic VCG
w/ the added flexibility
that the new net e’s
s(e’) can be =
watermark if current
net e and e’ belong to
the same net
Channel routing
Channel routing
Channel routing

More Related Content

What's hot

Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
Dr. Vishal Sharma
 
Silicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) TechnologySilicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) Technology
Sudhanshu Janwadkar
 
DIFFERENTIAL AMPLIFIER using MOSFET
DIFFERENTIAL AMPLIFIER using MOSFETDIFFERENTIAL AMPLIFIER using MOSFET
DIFFERENTIAL AMPLIFIER using MOSFET
Praveen Kumar
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
Sudhanshu Janwadkar
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
Avanish Agarwal
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
Prathyusha Madapalli
 
Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fets
Arya Ls
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
Dr.YNM
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
Mahesh Dananjaya
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
slpinjare
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
Rajesh Tiwary
 

What's hot (20)

Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Silicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) TechnologySilicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) Technology
 
DIFFERENTIAL AMPLIFIER using MOSFET
DIFFERENTIAL AMPLIFIER using MOSFETDIFFERENTIAL AMPLIFIER using MOSFET
DIFFERENTIAL AMPLIFIER using MOSFET
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fets
 
BGR
BGRBGR
BGR
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Latch up
Latch upLatch up
Latch up
 
Calibre
CalibreCalibre
Calibre
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 

Viewers also liked

Routing
RoutingRouting
Flood routing
Flood routingFlood routing
Flood routing
vivek gami
 
A Review on Channel Routing On VLSI Physical Design
A Review on Channel Routing On VLSI Physical DesignA Review on Channel Routing On VLSI Physical Design
A Review on Channel Routing On VLSI Physical Design
IOSR Journals
 
Channel Leads Processes Best Practices
Channel Leads Processes Best PracticesChannel Leads Processes Best Practices
Channel Leads Processes Best Practicesguest8fae1d
 
Building a Solid Foundation for Actionable Analytics_May11 - 051116
Building a Solid Foundation for Actionable Analytics_May11 - 051116Building a Solid Foundation for Actionable Analytics_May11 - 051116
Building a Solid Foundation for Actionable Analytics_May11 - 051116Elliott Lowe
 
BMA Chicago: Driving Leads through Channel Ecosystems
BMA Chicago: Driving Leads through Channel EcosystemsBMA Chicago: Driving Leads through Channel Ecosystems
BMA Chicago: Driving Leads through Channel EcosystemsBMAChicago
 
The Power of Massive Informal Learning Environments
The Power of Massive Informal Learning EnvironmentsThe Power of Massive Informal Learning Environments
The Power of Massive Informal Learning Environments
Donny Tusler
 
Sociolinguistics and Phonology of Kanauji
Sociolinguistics and Phonology of KanaujiSociolinguistics and Phonology of Kanauji
Sociolinguistics and Phonology of Kanauji
Pankaj Dwivedi
 
AWH Almost Ultimate_App_ebook
AWH Almost Ultimate_App_ebookAWH Almost Ultimate_App_ebook
AWH Almost Ultimate_App_ebook
Ryan Frederick
 
Apn ekoara cosméticos
Apn ekoara cosméticosApn ekoara cosméticos
Apn ekoara cosméticos
Assis Moreira
 
Meeting7sett2013
Meeting7sett2013Meeting7sett2013
Meeting7sett2013
Cosmetica Kassandra
 

Viewers also liked (14)

Routing
RoutingRouting
Routing
 
Flood routing
Flood routingFlood routing
Flood routing
 
A Review on Channel Routing On VLSI Physical Design
A Review on Channel Routing On VLSI Physical DesignA Review on Channel Routing On VLSI Physical Design
A Review on Channel Routing On VLSI Physical Design
 
Channel Leads Processes Best Practices
Channel Leads Processes Best PracticesChannel Leads Processes Best Practices
Channel Leads Processes Best Practices
 
Building a Solid Foundation for Actionable Analytics_May11 - 051116
Building a Solid Foundation for Actionable Analytics_May11 - 051116Building a Solid Foundation for Actionable Analytics_May11 - 051116
Building a Solid Foundation for Actionable Analytics_May11 - 051116
 
BMA Chicago: Driving Leads through Channel Ecosystems
BMA Chicago: Driving Leads through Channel EcosystemsBMA Chicago: Driving Leads through Channel Ecosystems
BMA Chicago: Driving Leads through Channel Ecosystems
 
The Power of Massive Informal Learning Environments
The Power of Massive Informal Learning EnvironmentsThe Power of Massive Informal Learning Environments
The Power of Massive Informal Learning Environments
 
Survey
SurveySurvey
Survey
 
Sociolinguistics and Phonology of Kanauji
Sociolinguistics and Phonology of KanaujiSociolinguistics and Phonology of Kanauji
Sociolinguistics and Phonology of Kanauji
 
AWH Almost Ultimate_App_ebook
AWH Almost Ultimate_App_ebookAWH Almost Ultimate_App_ebook
AWH Almost Ultimate_App_ebook
 
Apn ekoara cosméticos
Apn ekoara cosméticosApn ekoara cosméticos
Apn ekoara cosméticos
 
Evaluation stage
Evaluation stageEvaluation stage
Evaluation stage
 
Meeting7sett2013
Meeting7sett2013Meeting7sett2013
Meeting7sett2013
 
Individual learning space
Individual learning spaceIndividual learning space
Individual learning space
 

Similar to Channel routing

Everything About Graphs in Data Structures.pptx
Everything About Graphs in Data Structures.pptxEverything About Graphs in Data Structures.pptx
Everything About Graphs in Data Structures.pptx
MdSabbirAhmedEkhon
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
freeloadtailieu
 
Minicourse on Network Science
Minicourse on Network ScienceMinicourse on Network Science
Minicourse on Network Science
Pavel Loskot
 
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
aishwaryaarrao3
 
Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
Sunesh N.V
 
graphs.ppt
graphs.pptgraphs.ppt
graphs.ppt
SauravDash10
 
09 placement
09 placement09 placement
09 placement
yogiramesh89
 
A new dynamic single row routing for channel
A new dynamic single row routing for channelA new dynamic single row routing for channel
A new dynamic single row routing for channel
eSAT Publishing House
 
Ec 2401 wireless communication unit 2
Ec 2401 wireless communication   unit 2Ec 2401 wireless communication   unit 2
Ec 2401 wireless communication unit 2
JAIGANESH SEKAR
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
Prachi Pandey
 
ect 292 nano electronics
ect 292 nano electronicsect 292 nano electronics
ect 292 nano electronics
RenjithThomas13
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
Syed Zaid Irshad
 
Data Communications and Optical Network - Forouzan
Data Communications and Optical Network - ForouzanData Communications and Optical Network - Forouzan
Data Communications and Optical Network - Forouzan
Pradnya Saval
 
Minimum spanning tree (mst)
Minimum spanning tree (mst)Minimum spanning tree (mst)
Minimum spanning tree (mst)
Pradeep Behera
 
Nptel cad2-06 capcitances
Nptel cad2-06 capcitancesNptel cad2-06 capcitances
Nptel cad2-06 capcitances
chenna_kesava
 
Lecture 01 intro.pptx
Lecture 01 intro.pptxLecture 01 intro.pptx
Lecture 01 intro.pptx
RahulChoudhary781055
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
Thrinadh Komatipalli
 
OTP, Phishing, QR code, Shares, Visual Cryptography.
OTP, Phishing, QR code, Shares, Visual Cryptography.OTP, Phishing, QR code, Shares, Visual Cryptography.
OTP, Phishing, QR code, Shares, Visual Cryptography.
IJERA Editor
 
L22.ppt
L22.pptL22.ppt
L22.ppt
raaed5
 
Manu maths ppt
Manu maths pptManu maths ppt
Manu maths ppt
manu chaturvedi
 

Similar to Channel routing (20)

Everything About Graphs in Data Structures.pptx
Everything About Graphs in Data Structures.pptxEverything About Graphs in Data Structures.pptx
Everything About Graphs in Data Structures.pptx
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
Minicourse on Network Science
Minicourse on Network ScienceMinicourse on Network Science
Minicourse on Network Science
 
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
 
Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
 
graphs.ppt
graphs.pptgraphs.ppt
graphs.ppt
 
09 placement
09 placement09 placement
09 placement
 
A new dynamic single row routing for channel
A new dynamic single row routing for channelA new dynamic single row routing for channel
A new dynamic single row routing for channel
 
Ec 2401 wireless communication unit 2
Ec 2401 wireless communication   unit 2Ec 2401 wireless communication   unit 2
Ec 2401 wireless communication unit 2
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
 
ect 292 nano electronics
ect 292 nano electronicsect 292 nano electronics
ect 292 nano electronics
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
 
Data Communications and Optical Network - Forouzan
Data Communications and Optical Network - ForouzanData Communications and Optical Network - Forouzan
Data Communications and Optical Network - Forouzan
 
Minimum spanning tree (mst)
Minimum spanning tree (mst)Minimum spanning tree (mst)
Minimum spanning tree (mst)
 
Nptel cad2-06 capcitances
Nptel cad2-06 capcitancesNptel cad2-06 capcitances
Nptel cad2-06 capcitances
 
Lecture 01 intro.pptx
Lecture 01 intro.pptxLecture 01 intro.pptx
Lecture 01 intro.pptx
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
 
OTP, Phishing, QR code, Shares, Visual Cryptography.
OTP, Phishing, QR code, Shares, Visual Cryptography.OTP, Phishing, QR code, Shares, Visual Cryptography.
OTP, Phishing, QR code, Shares, Visual Cryptography.
 
L22.ppt
L22.pptL22.ppt
L22.ppt
 
Manu maths ppt
Manu maths pptManu maths ppt
Manu maths ppt
 

Recently uploaded

Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
R&R Consult
 

Recently uploaded (20)

Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 

Channel routing

  • 1. General Routing Overview and Channel Routing Shantanu Dutt ECE Dept. UIC
  • 2. References and Copyright (cont.) • Slides used: (Modified by Shantanu Dutt when necessary) – [©Sarrafzadeh] © Majid Sarrafzadeh, 2001; Department of Computer Science, UCLA – [©Sherwani] © Naveed A. Sherwani, 1992 (companion slides to [She99]) – [©Keutzer] © Kurt Keutzer, Dept. of EECS, UC-Berekeley http://www-cad.eecs.berkeley.edu/~niraj/ee244/index.htm – [©Gupta] © Rajesh Gupta UC-Irvine http://www.ics.uci.edu/~rgupta/ics280.html – [©Kang] © Steve Kang, UIUC http://www.ece.uiuc.edu/ece482/ – [©Bazargan] © Kia Bazargan
  • 3. Routing • Problem – Given a placement, and a fixed number of metal layers, find a valid pattern of horizontal and vertical wires that connect the terminals of the nets – Levels of abstraction: • Global routing • Detailed routing • Objectives – Cost components: • Area (channel width) – min congestion in prev levels helped • Wire delays – timing minimization in previous levels • Number of layers (fewer layers  less expensive) • Additional cost components: number of bends, vias ©Bazargan
  • 4. Metal layer 1 Via Routing Anatomy Top view 3D view Metal layer 2 Metal layer 3 Symbolic Layout Note: Colors used in this slide are not standard ©Bazargan
  • 5. Global vs. Detailed Routing • Global routing – Input: detailed placement, with exact terminal locations – Determine “channel” (routing region) for each net – Objective: minimize area (congestion), and timing (approximate) • Detailed routing – Input: channels and approximate routing from the global routing phase – Determine the exact route and layers for each net – Objective: valid routing, minimize area (congestion), meet timing constraints – Additional objectives: min via, power Figs. [©Sherwani]
  • 6. Taxonomy of VLSI Routers [©Keutzer] Graph Search Steiner Iterative Hierarchical Greedy Left-Edge River Switchbox Channel Maze Line Probe Line Expansion Restricted General Purpose Clock Specialized Power/Gnd Routers DetailedGlobal Maze
  • 7. Global Routing • Stages – Routing region definition – Routing region ordering – Steiner-tree / area routing • Grid – Tiles super-imposed on placement – Regular or irregular – Smaller problem to solve, higher level of abstraction – Terminals at center of grid tiles • Edge capacity – Number of nets that can pass a certain grid edge (aka congestion) – On edge Eij, Capacity(Eij) ≥ Congestion(Eij) • Steiner routing is generally performed on the routing graph using edge lengths as cost and considering edge capacities M1 M2 M3 [©Sarrafzadeh]
  • 8. Grid Graph • Course or fine-grain • Vertices: routing regions, edges: route exists? • Weights on edges – How costly is to use that edge – Could vary during the routing (e.g., for congestion) – Horizontal / vertical might have different weights [©Sherwani] t1 t2 t3 t4 t1 t2 t3 t4 1 1 1 1 1 1 2 2 1 1 t1 t3 t4 t2
  • 9. Global Routing – Graph Search • Good for two-terminal nets • Build grid graph (Coarse? Fine?) • Use graph search algorithms, e.g., Dijkstra • Iterative: route nets one by one • How to handle: – Congestion? – Critical nets? • Order of the nets to route? – Net criticality – Half-perimeter of the bounding box – Number of terminals ©Bazargan
  • 10. (4-side routing, requires Steiner routing, NP-hard) (2-side routing, solvable optimally in linear time w/o vertical constraints) channels (blue) covering adjacent overlapping module boundary pairs switch-boxes (maroon) in rest if the areas ©Dutt for channel & sw-box definition in the left figure
  • 11.
  • 12.
  • 13.
  • 14.
  • 15. • A cycle in the VCG  an unroutable placement unless a net can be routed on more than 1 track • Otherwise, depth of VCG is lower bound on channel density
  • 16.
  • 17.
  • 18.
  • 19. Case 2a: Closest non-ov net to e crosses L Case 2b: Closest non-ov net to e does not cross L L e: Most recently routed net L’ e: Most recently routed net L Optimality of the Left Edge Algorithm Case 1: Max density line L cuts e Case 2: Max density line L does not cut e • In Case 1, the density of L reduces by 1 after current track t (e is on t) is routed • In Case 2, let e’ be the net not overlapping e & whose s(e’) is closest to e(e). • Case 2a: If e’ crosses L, then since e’ will be on t, density of L reduces by 1 after t is routed • Case 2b: If not, then the set S(L) of all other nets crossing L are overlapping w/ e (otherwise one of them will be e’ and crossing L, and we will not be in Case 2b). Then there exists another cut line L’ that cuts S(L) and e, and thus have density > density of L, and we reach a contradiction (that L is the max density line) • Thus after current track t is routed, the density of L reduces by 1. This applies to all max density lines. Thus # of tracks needed = density of initial max density line which is a lower bound on # tracks. Hence the Left-Edge algorithm is optimal in the # of tracks e’ e’ s(e) e(e) s(e’) s(e’) S(L) ©Dutt
  • 20. Update the VCG by deleting all Ij ‘’s (and their arcs) routed in track t-1 > 0; (no arcs in the VCG incoming to Ij)
  • 21.
  • 23. w/ the added flexibility that the new net e’s s(e’) can be = watermark if current net e and e’ belong to the same net

Editor's Notes

  1. Top layers have more spacing between wires Top layers higher aspect ratio (like walls)
  2. The weight on the edges in the middle graph indicate edge cost, not capacity. The right graph is called “channel intersection” graph. It is more popular than the other two.