SlideShare a Scribd company logo
1
ELECTRICAL AND ELECTRONICS
ENGINEERING
LAB MANUAL
ON
Digital Electronics Lab
(Common for EE & EX)
Lab CODE: 4EE4-23
B. Tech IV Semester
Faculty Name- Mr. HARISH KUMAR MAHESHWARI
2
4EE4-23: Digital Electronics Lab
1) To verify the truth tables of basic logic gates: AND, OR, NOR, NAND, NOR. Also to verify
the truth table of Ex-OR, Ex-NOR (For 2, 3, & 4 inputs using gates with 2, 3, & 4 inputs).
2) To verify the truth table of OR, AND, NOR, Ex-OR, Ex-NOR realized using NAND & NOR
gates.
3) To realize an SOP and POS expression.
4) To realize Half adder/ Subtractor & Full Adder/ Subtractor using NAND & NOR gates and to
verify their truth tables.
5) To realize a 4-bit ripple adder/ Subtractor using basic half adder/ Subtractor & basic Full
Adder/ Subtractor.
6) To verify the truth table of 4-to-1 multiplexer and 1-to-4 demultiplexer. Realize the
multiplexer using basic gates only. Also to construct and 8- to-1 multiplexer and 1-to-8
demultiplexer using blocks of 4-to-1 multiplexer and 1-to-4 demultiplexer.
7) Design & Realize a combinational circuit that will accept a 2421 BCD code and drive a TIL -
312 seven segment display.
8) Using basic logic gates, realize the R-S, J-K and D-flip flops with and without clock signal
and verify their truth table.
9) Construct a divide by 2,4& 8 asynchronous counter. Construct a 4-bit binary counter and ring
counter for a particular output pattern using D flip flop.
10) Perform input/output operations on parallel in/Parallel out and Serial in/Serial out registers
using clock. Also exercise loading only one of multiple values into the register using multiplexer.
3
Experiment No: 1
Aim: To verify the truth tables of basic logic gates: AND, OR, NOR, NAND, NOR. Also verify
the truth table of Ex-OR, Ex-NOR (For 2, 3 & 4 input using gates with 2, 3, & 4 inputs).
Apparatus / Component Required:
S.No. COMPONENT SPECIFICATION
1. 2 i/p AND GATE IC 7408
2. 2 i/p OR GATE IC 7432
3. NOT GATE IC 7404
4. 2 i/p XOR GATE IC 7486
5.
6.
7.
8.
9.
2 i/p NAND GATE
2 i/p NOR GATE
3 i/p NAND GATE
3 i/p AND GATE
3 i/p NOR GATE
IC 7400
IC 7402
IC 7410
IC 7411
IC 7427
10.
11.
12.
4 i/p NAND GATE
4 i/p AND GATE
4 i/p NOR GATE
IC 7420
IC 7421
IC 7425
13. IC TRAINER KIT -
14. WIRES -
Theory:
The Breadboard:
The breadboard consists of two terminal strips and two bus strips (often broken in the centre).
Each bus strip has two rows of contacts. Each of the two rows of contacts is a node. That is, each
contact along a row on a bus strip is connected together (inside the breadboard). Bus strips are
used primarily for power supply connections, but are also used for any node requiring a large
number of connections. Each terminal strip has 60 rows and 5 columns of contacts on each side
of the centre gap. Each row of 5 contacts is a node.
You will build your circuits on the terminal strips by inserting the leads of circuit components
into the contact receptacles and making connections with 22-26 gauge wire. There are wire
cutter/strippers and a spool of wire in the lab. It is a good practice to wire +5V and 0V power
supply connections to separate bus strips.
4
The 5V supply MUST NOT BE EXCEEDED since this will damage the ICs (Integrated
circuits) used during the experiments. Incorrect connection of power to the ICs could Result in
them exploding or becoming very hot - with the possible serious injury occurring to the people
working on the experiment! Ensure that the power supply polarity and all components and
connections are correct before switching on power.
Building the Circuit
Throughout these experiments we will use TTL chips to build circuits. The steps for wiring a
circuit should be completed in the order described below:
1. Turn the power (Trainer Kit) off before you doing connections on breadboard.
2. Connect the +5V and ground (GND) leads of the power supply to the power and ground
bus strips on your breadboard.
3. Plug the chips you will be using into the breadboard. Point all the chips in the same
direction with pin 1 at the upper-left corner. (Pin 1 is often identified by a dot or a notch
next to it on the chip package)
4. Connect +5V and GND pins of each chip to the power and ground bus strips on the
breadboard.
5. Select a connection on your schematic and place a piece of hook-up wire between
corresponding pins of the chips on your breadboard. It is better to make the short
connections before the longer ones. Mark each connection on your schematic as you go,
so as not to try to make the same connection again at a later stage.
6. Get one of your group members to check the connections, before you turn the power
on.
7. If an error is made and is not spotted before you turn the power on. Turn the power off
immediately before you begin to rewire the circuit.
8. At the end of the laboratory session, collect you hook-up wires, chips and all equipment
5
and return them to the demonstrator and switch off the power.
9. Tidy the area that you were working in and leave it in the same condition as it was
before you started.
Common Causes of Problems
1. Not connecting the ground and/or power pins for all chips.
2. Not turning on the power supply before checking the operation of the circuit.
3. Leaving out wires.
4. Plugging wires into the wrong holes.
5. Driving a single gate input with the outputs of two or more gates
6. Modifying the circuit with the power on.
6
BASIC GATES:
The Digital Logic "AND" Gate
A Logic AND Gate is a type of digital logic gate that has an output which is normally at logic
level "0" and only goes "HIGH" to a logic level "1" when ALL of its inputs are at logic level "1".
The output of a Logic AND Gate only returns "LOW" again when ANY of its inputs are at a
logic level "0". The logic or Boolean expression given for a logic AND gate is that for Logical
Multiplication which is denoted by a single dot or full stop symbol, (.) giving us the Boolean
expression of: A.B = Q.
Then we can define the operation of a 2-input logic AND gate as being:
"If both A and B are true, then Q is true"
2-input AND Gate
Symbol Truth Table
2-input AND Gate
B A Q
0 0 0
0 1 0
1 0 0
1 1 1
Boolean Expression Q = A.B Read as A AND B gives Q
Commonly available digital logic AND gate IC’s included:
TTL Logic Types
 74LS08 Quad 2-input
 74LS11 Triple 3-input
 74LS21 Dual 4-input
CMOS Logic Types
 CD4081 Quad 2-input
 CD4073 Triple 3-input
 CD4082 Dual 4-input
Quad 2-Input AND Gate IC 7408
7
Triple 3-input AND Gate IC 7411
Dual 4-input AND Gate IC 7421
The Logic "OR" Gate
8
A Logic OR Gate or Inclusive-OR gate is a type of digital logic gate that has an output which is
normally at logic level "0" and only goes "HIGH" to a logic level "1" when ANY of its inputs are
at logic level "1". The output of a Logic OR Gate only returns "LOW" again when ALL of its
inputs are at a logic level "0". The logic or Boolean expression given for a logic OR gate is that
for Logical Addition which is denoted by a plus sign, (+) giving us the Boolean expression
of: A+B = Q.
Then we can define the operation of a 2-input logic OR gate as being:
"If either A or B is true, then Q is true"
2-input OR Gate
Symbol Truth Table
2-input OR Gate
B A Q
0 0 0
0 1 1
1 0 1
1 1 1
Boolean Expression Q = A+B Read as A OR B gives Q
Commonly available OR gate IC’s included:
TTL Logic Types
 74LS32 Quad 2-input
CMOS Logic Types
 CD4071 Quad 2-input
 CD4075 Triple 3-input
 CD4072 Dual 4-input
Quad 2-Input OR Gate IC 7432
The Digital Logic "NOT" Gate (Digital Inverter)
9
The digital Logic NOT Gate is the most basic of all the logical gates and is sometimes referred to
as an Inverting Buffer or simply a Digital Inverter. It is a single input device which has an output
level that is normally at logic level "1" and goes "LOW" to a logic level "0" when its single input
is at logic level "1", in other words it "inverts" (complements) its input signal. The output from a
NOT gate only returns "HIGH" again when its input is at logic level "0" giving us the Boolean
expression of: A = Q.
Then we can define the operation of a single input logic NOT gate as being:
"If A is NOT true, then Q is true"
Symbol Truth Table
Inverter or NOT Gate
A Q
0 1
1 0
Boolean Expression Q = not A or A Read as inverse of A gives Q
Then, with an input voltage at "A" HIGH, the output at "Q" will be LOW and an input voltage at
"A" LOW the Resulting output voltage at "Q" is HIGH producing the complement of the input
signal.
Commonly available logic NOT gate and Inverter IC's include
TTL Logic Types
 74LS04 Hex Inverting NOT Gate
 74LS04 Hex Inverting NOT Gate
 74LS14 Hex Schmitt Inverting NOT
Gate
 74LS1004 Hex Inverting Drivers
CMOS Logic Types
 CD4009 Hex Inverting NOT
Gate
 CD4069 Hex Inverting NOT
Gate
Hex Inverter Gate IC 7404
UNIVERSAL GATES:
The Logic "NAND" Gate
10
The Logic NAND Gate is a combination of the digital logic AND gate with that of an inverter or
NOT gate connected together in series. The NAND (Not - AND) gate has an output that is
normally at logic level "1" and only goes "LOW" to logic level "0" when ALL of its inputs are at
logic level "1". The Logic NAND Gate is the reverse or "Complementary" form of the AND gate
we have seen previously.
Logic NAND Gate Equivalence
The logic or Boolean expression given for a logic NAND gate is that for Logical Addition,
which is the opposite to the AND gate, and which it performs on the complements of the inputs.
The Boolean expression for a logic NAND gate is denoted by a single dot or full stop symbol, (.)
with a line or Overline, ( ‾‾ ) over the expression to signify the NOT or logical negation of the
NAND gate giving us the Boolean expression of: A.B = Q. Then we can define the operation of
a 2-input logic NAND gate as being:
"If either A or B are NOT true, then Q is true"
Symbol Truth Table
2-input NAND Gate
B A Q
0 0 1
0 1 1
1 0 1
1 1 0
Boolean Expression Q = A.B Read as A AND B gives NOT Q
Commonly available logic NAND gate IC’s including:
TTL Logic Types
 74LS00 Quad 2-input
 74LS10 Triple 3-input
 74LS20 Dual 4-input
 74LS30 Single 8-input
CMOS Logic Types
 CD4011 Quad 2-input
 CD4023 Triple 3-input
 CD4012 Dual 4-input
Quad 2-Input NAND Gate IC 7400
11
Triple 3-Input NAND Gate IC 7410
Dual 4-Input NAND Gate IC 7420
The Logic "NOR" Gate
The Logic NOR Gate or Inclusive-NOR gate is a combination of the digital logic OR gate with
that of an inverter or NOT gate connected together in series. The NOR (Not - OR) gate has an
output that is normally at logic level "1" and only goes "LOW" to logic level "0" when ANY of
12
its inputs are at logic level "1". The Logic NOR Gate is the reverse or "Complementary" form of
the OR gate we have seen previously.
NOR Gate Equivalent
The logic or Boolean expression given for a logic NOR gate is that for Logical Multiplication
which it performs on the complements of the inputs. The Boolean expression for a logic NOR
gate is denoted by a plus sign, (+) with a line or Over line, ( ‾‾ ) over the expression to signify the
NOT or logical negation of the NOR gate giving us the Boolean expression of: A+B = Q.
Then we can define the operation of a 2-input logic NOR gate as being:
"If both A and B are NOT true, then Q is true"
Symbol Truth Table
2-input NOR Gate
B A Q
0 0 1
0 1 0
1 0 0
1 1 0
Boolean Expression Q = A+B Read as A OR B gives NOT Q
Commonly available NOR gate IC's include:
TTL Logic Types
 74LS02 Quad 2-input
 74LS27 Triple 3-input
 74LS260 Dual 4-input
CMOS Logic Types
 CD4001 Quad 2-input
 CD4025 Triple 3-input
 CD4002 Dual 4-input
Quad 2-Input NOR Gate 7402
13
Triple 3-Input NOR Gate IC 7427
Dual 4-Input NOR Gate IC 7425
The Exclusive-OR Gate (Ex-OR Gate)
The output of an Exclusive-OR gate ONLY goes "HIGH" when its two input terminals are at
"DIFFERENT" logic levels with respect to each other and they can both be at logic level "1" or
14
both at logic level "0" giving us the Boolean expression of: Q = A’B + AB’. The Exclusive-OR
Gate function is achieved is achieved by combining standard gates together to form more
complex gate functions. An example of a 2-input Exclusive-OR gate is given below.
2-input Ex-OR Gate
Symbol Truth Table
2-input Ex-OR Gate
B A Q
0 0 0
0 1 1
1 0 1
1 1 0
Boolean Expression Q = A B Read as A OR B but NOT BOTH gives Q
TTL Logic Types
 74LS86 Quad 2-input
CMOS Logic Types
 CD4030 Quad 2-input
Quad 2-Input Ex-OR Gate IC 7486
The Exclusive-NOR Gate
The output of an Exclusive-NOR gate ONLY goes "HIGH" when its two input terminals, A and
B are at the "SAME" logic level which can be either at a logic level "1" or at a logic level "0".
15
Then this type of gate gives and output "1" when its inputs are "logically equal" or "equivalent"
to each other, which is why an Exclusive-NOR gate is sometimes called an Equivalence Gate.
Ex-NOR Gate Equivalent
In general, an Exclusive-NOR gate will give an output value of logic "1" ONLY when there are
an EVEN number of 1's on the inputs to the gate (the inverse of the Ex-OR gate) except when all
its inputs are "LOW". Commonly available Exclusive-NOR gate IC's include:
TTL Logic Types
74LS266 Quad 2-input
CMOS Logic Types
CD4077 Quad 2-input
Quad 2-Input Ex-NOR Gate IC 74266
Procedure:
 Plug the IC you will be using into the breadboard. Point all the ICs in the same direction
with pin 1 at the upper-left corner. (Pin 1 is often identified by a dot or a notch next to it
on the chip package).
Symbol Truth Table
2-input Ex-NOR Gate
B A Q
0 0 1
0 1 0
1 0 0
1 1 1
Boolean Expression Q = A B Read if A AND B the SAME gives Q
16
 Connect +5V and GND pins of each chip to the power and ground bus strips on the
breadboard.
 Make the connections as per the circuit diagram.
 Switch on VCC and apply various combinations of input according to truth table.
 Note down the output readings for different gates indicating logic value of the output.
Result: Logic gates are studied and all the gates are verified.
Conclusion: All the 2i/p, 3 i/p and 4 i/p logic gate are verified using their respective Digital ICs.
We have verified their truth tables.
Precautions:
1. All the connections should be made properly.
2. IC should not be reversed.
3. It should be care that the values of the components of the circuit is does not exceed to their
ratings (maximum value).
4. Before the circuit connection it should be check out working condition of all the Component.
Pre Experiment Questions:
1. Define the term digital.
2. What is meant by bit?
3. What is digital gate?
4. What is truth table?
5. When used with an IC, what do you mean by the term "QUAD" ?
Post Experiment Questions:
1. If a 3-input NOR gate has eight input possibilities, how many of those possibilities will
Result in a HIGH output?
2. The output of a logic gate is ‘1’ when all its input are at logic 0.The gate is either
(a)NAND or an EX OR gate
(b)NOR or an EX-NOR gate
(c)An OR or an EX NOR gate
(d)An AND or an EX-OR gate
3. Which of the logical operations is represented by the + sign in Boolean algebra?
17
Experiment No.2
Aim: To verify the truth table of OR, AND, NOR, Ex-OR, Ex-NOR realized using NAND &
NOR gates.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7400, 7402)
Theory: Logic gates like NAND and NOR are also used in the design of digital circuits.
The objectives of this practical are to learn about:
1. Universal gates - NAND and NOR.
2. How to implement NOT, AND, and OR gate using NAND gates only.
3. How to implement NOT, AND, and OR gate using NOR gates only.
4. Equivalent gates.
NAND Gate:
The NAND gate represents the complement of the AND operation. Its name is an abbreviation of
NOT AND.
The graphic symbol for the NAND gate consists of an AND symbol with a bubble on the output,
denoting that a complement operation is performed on the output of the AND gate.
The truth table and the graphic symbol of NAND gate is shown in the figure.
The truth table clearly shows that the NAND operation is the complement of the AND.
NOR Gate:
The NOR gate represents the complement of the OR operation. Its name is an abbreviation of
NOT OR. The graphic symbol for the NOR gate consists of an OR symbol with a bubble on the
output, denoting that a complement operation is performed on the output of the OR gate.
The truth table and the graphic symbol of NOR gate is shown in the figure.
The truth table clearly shows that the NOR operation is the complement of the OR.
18
Universal Gates:
A universal gate is a gate which can implement any Boolean function without need to use any
other gate type. The NAND and NOR gates are universal gates.
In practice, this is advantageous since NAND and NOR gates are economical and easier to
fabricate and are the basic gates used in all IC digital logic families. In fact, an AND gate is
typically implemented as a NAND gate followed by an inverter not the other way around!!
Likewise, an OR gate is typically implemented as a NOR gate followed by an inverter.
NAND Gate is a Universal Gate:
To prove that any Boolean function can be implemented using only NAND gates, we will show
that the AND, OR, and NOT operations can be performed using only these gates.
Implementing an Inverter Using only NAND Gate
The figure shows two ways in which a NAND gate can be used as an inverter (NOT gate).
1. All NAND input pins connect to the input signal A gives an output A’.
2. One NAND input pin is connected to the input signal A while all other input pins are
connected to logic 1. The output will be A’.
Implementing AND Using only NAND Gates
3. An AND gate can be replaced by NAND gates as shown in the figure (The AND is replaced
by a NAND gate with its output complemented by a NAND gate inverter).
Implementing OR Using only NAND Gates
4. An OR gate can be replaced by NAND gates as shown in the figure (The OR gate is replaced
by a NAND gate with all its inputs complemented by NAND gate inverters).
Thus, the NAND gate is a universal gate since it can implement the AND, OR and NOT
functions.
19
NOR Gate is a Universal Gate:
To prove that any Boolean function can be implemented using only NOR gates, we will show
that the AND, OR, and NOT operations can be performed using only these gates.
Implementing an Inverter Using only NOR Gate
The figure shows two ways in which a NOR gate can be used as an inverter (NOT gate).
1. All NOR input pins connect to the input signal A gives an output A’.
2. One NOR input pin is connected to the input signal A while all other input pins are connected
to logic 0. The output will be A’.
Implementing OR Using only NOR Gates
An OR gate can be replaced by NOR gates as shown in the figure (The OR is replaced by a NOR
gate with its output complemented by a NOR gate inverter)
Implementing AND Using only NOR Gates
An AND gate can be replaced by NOR gates as shown in the figure (The AND gate is replaced
by a NOR gate with all its inputs complemented by NOR gate inverters)
Thus, the NOR gate is a universal gate since it can implement the AND, OR and NOT
functions.
20
Equivalent Gates
The shown figure summarizes important cases of gate equivalence. Note that bubbles indicate a
complement operation (inverter).
A NAND gate is equivalent to an inverted-input OR gate.
An AND gate is equivalent to an inverted-input NOR gate.
A NOR gate is equivalent to an inverted-input AND gate.
An OR gate is equivalent to an inverted-input NAND gate.
Two NOT gates in series are same as a buffer because they cancel each other as A’’ = A.
Implementing EX-OR Using only NAND Gates
21
Implementing EXNOR Using only NAND Gates
Implementing EXOR Using only NOR Gates
Implementing EX-NOR Using only NOR Gates
Procedure:
1. Plug the ICs 7400 into the breadboard.
2. Connect +5V and GND pins of each chip to the power and ground bus strips on the breadboard.
22
3. Make the connections as per the circuit diagram.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Note down the output readings for different combinations of indicating logic value of the output.
Where 5V indicating logic 1 and 0V indicating logic.
6. Repeat it with IC 7402.
Result: Designing of basic gates by using of NAND and NOR gate is successfully done.
Conclusion: An universal gate is a gate which can implement any Boolean function without need to
use any other gate type. Hence all the gates are realized using Universal gates.
In practice, this is advantageous since NAND and NOR gates are economical and easier to fabricate
and are the basic gates used in all IC digital logic families.
Pre Experiment Questions:
1. Why NAND & NOR gates are called universal gates?
2. Which gate is equal to AND-inverter Gate?
3. Which gate is equal to OR-inverter Gate?
Post Experiment Questions:
1. Draw X= ab + b’a’ by NAND gate?
2. What is Demorgan’s theorem?
3. Solve following example by Demorgan’s theorem 1) (A+B+C)’
1. 2) (ABC)’ (AB)’
4. How do you convert an XOR into a buffer and a inverter?
23
Experiment No.3
Aim: To realize SOP and POS expressions.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7400, 7402, 7404, 7408, 7432)
Theory: We have seen before that Boolean functions in either SOP or POS forms can be
implemented using 2-Level implementations.
For SOP forms AND gates will be in the first level and a single OR gate will be in the second
level.
For POS forms OR gates will be in the first level and a single AND gate will be in the second
level.
Note that using inverters to complement input variables is not counted as a level.
We will show that SOP forms can be implemented using only NAND gates, while POS forms
can be implemented using only NOR gates.
Implement the following SOP function
F =
Being an SOP expression, it is implemented in 2-levels as shown in the figure.
Here the expression is realized using NAND Gate.
24
Implement the following POS function
F =
Being a POS expression, it is implemented in 2-levels as shown in the figure.
Introducing two successive inverters at the inputs of the AND gate Results in the shown
equivalent implementation. Since two successive inverters on the same line will not have an
overall effect on the logic as it is shown before.
There are some other types of 2-level combinational circuits which are
 NAND-AND
 AND-NOR,
 NOR-OR,
 OR-NAND
AND-NOR functions:
Implement the following function
F = XZ YZ XYZ or
F = XZ Y Z XYZ
Since F’ is in SOP form, it can be implemented by using NAND-NAND circuit. By
complementing the output we can get F, or by using NAND-AND circuit as shown in the figure
OR-NAND functions:
25
Implement the following function
F = (X +Z). (Y +Z). (X +Y +Z)
F = (X + Z)(Y + Z ) (X +Y+ Z)
Since F’ is in POS form, it can be implemented by using NOR-NOR circuit.
By complementing the output we can get F, or by using NOR-OR circuit as shown in the figure.
It can also be implemented using OR-NAND circuit as it is equivalent to NOR-OR circuit as
shown in the figure.
Procedure:
1.Take ICs-7408,7404,and 7432 and place it on breadboard according to the circuit.
2.Give the voltage Vcc and Ground supply to each IC separately.
3.Note the output and verify the truth table for all the expressions.
Precautions:
1) The continuity of the connecting terminals should be checked before going
2) It should be care that the values of the components of the circuit is does not exceed to their
ratings (maximum value).
Result: Hence both SOP and POS forms of expression are verified.
26
Conclusion: By using various logic gate ICs we can perform the SOP and POS operation of any
equation.
Pre Experiment Questions:
1. Express the function f(x, y, z) = 1 in the form of sum of minterms and a product of
maxterms.
2. What is D’morgans theorem?
3. Solve following example by using D’morgans theorem.
(ABC)’ (AB)’
Post Experiment Questions:
1. Examine this truth table and then write both SOP and POS Boolean expressions describing
the Output:
A B C output
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 1
1 1 0 1
1 1 1 0
2. Convert the following SOP expression to an equivalent POS expression.
3. Express the function f(x, y, z) = 1 in the sum of minterms and a product of maxterms?
Minterms =(0,1,2,3,4,5,6,7), Maxterms = No maxterms.
4. Make the truth table of πM(1,2,6,7,13,14,15) + d(0,3,5)?
27
Experiment No: 4
Aim: To realize half adder or Subtractor & Full Adder or Subtractor using NAND & NOR gates
and to verify their truth table.
Apparatus/ Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7400, 7402)
Theory: A very useful combinational logic circuit which can be constructed using just a few
basic logic gates and adds together binary numbers is the Binary Adder circuit. The Binary
Adder is made up from standard AND and Ex-OR gates and allow us to "add" together single bit
binary numbers, a and b to produce two outputs, the SUM of the addition and a CARRY called
the Carry-out, ( Cout ) bit. One of the main uses for the Binary Adder is in arithmetic and
counting circuits.
The Half Adder Circuit
1-bit Adder with Carry-Out
Symbol Truth Table
A B SUM CARRY
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
Boolean Expression: Sum = A ⊕ B Carry = A . B
From the truth table we can see that the SUM (S) output is the Result of the Ex-OR gate and the
Carry-out (Cout) is the Result of the AND gate. One major disadvantage of the Half Adder
circuit when used as a binary adder, is that there is no provision for a "Carry-in" from the
previous circuit when adding together multiple data bits. For example, suppose we want to add
together two 8-bit bytes of data, any Resulting carry bit would need to be able to "ripple" or
move across the bit patterns starting from the least significant bit (LSB).
Circuit diagram for half-adder using NAND gates
28
Circuit diagram for half-adder using NOR gates
The Full Adder Circuit: The main difference between the Full Adder and the previous seen
Half Adder is that a full adder has three inputs, the same two single bit binary inputs A and B as
before plus an additional Carry-In (C-in) input as shown below. The 1-bit Full Adder circuit
above is basically two half adders connected together and consists of three Ex-OR gates, two
AND gates and an OR gate, six logic gates in total.
Symbol Truth Table
A B C-in Sum C-out
0 0 0 0 0
0 1 0 1 0
1 0 0 1 0
1 1 0 0 1
0 0 1 1 0
0 1 1 0 1
1 0 1 0 1
1 1 1 1 1
Boolean Expression: Sum = A ⊕ B ⊕ C-in
Full Adder implementation using NAND Gate
29
Full Adder implementation using NOR Gate
The Half Subtractor Circuit
A half-subtractor has two inputs and two outputs. Let the input variables minuend and
subtrahend be designated as A and B respectively, and output functions be designated as DIFF
for difference and BORROW for borrow. The truth table of the functions is as follows.
Symbol
Truth Table
A B DIFF BORROW
0 0 0 0
0 1 1 1
1 0 0 1
1 1 0 0
Boolean Expression: DIFF = A ⊕ B BORROW = A’. B
Circuit diagram for half-Subtractor using NAND gates.
30
Circuit diagram for half-Subtractor using NOR gates.
The Full Subtractor Circuit
A combinational circuit of full-Subtractor performs the operation of subtraction of three bits—
the minuend, subtrahend, and borrow generated from the subtraction operation of previous
significant digits and produces the outputs difference and borrow. Let us designate the input
variables minuend as A, subtrahend as B, and previous borrow as C, and outputs difference as D
and B as Borrow. Eight different input combinations are possible for three input variables.
Symbol Truth Table
a b c D B
0 0 0 0 0
0 1 0 1 1
1 0 0 1 1
1 1 0 0 1
0 0 1 1 0
0 1 1 0 0
1 0 1 0 0
1 1 1 1 1
Boolean Expression: D = a ⊕ b ⊕ c; B= a’b+bc+b’c
Full Subtractor implementation using NAND Gate
31
Full Subtractor implementation using NOR Gate
Procedure:
1. Insert the IC chips into the breadboard. Point all the chips in the same direction with pin 1 at
the upper-left corner. (Pin 1 is often identified by a dot or a notch next to it on the chip
package).
2. Connect +5V and GND pins of each chip to the power and ground bus strips on the
breadboard.
3. Make the connections as per the circuit diagram.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Note down the output readings for half/full adder and sum and the carry bit for different
combinations of inputs in following Tables where S & V indicating logic value of the output
and fill your Result in S (V) and C (V) in voltage. Where 5V indicating logic 1 and 0V
indicating logic 0.
Result:. The truth table for Half adder/Subtractor and Full Adder/Subtractor has been verified.
Conclusion: By using various logic gate ICs we can perform the full or half adder and subtractor
and check the truth table
Pre Experiment Questions:
1. Define Combinational Circuits.
2. What is meant by Map method?
3. What is meant by two and three variable map?
Post Experiment Questions:
1. Describe the difference between half-adder and full-adder.
2. Realize half -subtractor and full-subtractor using NOR gates.
3. Realize a full adder using two half adders.
4. Realize a full subtractors using two half subtractors.
32
Experiment No: 5
Aim: To realize a 4-bit ripple adder/Subtractor using basic full adder/ Subtractor.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7483, 7486, 7404, 7408, and 7432)
Theory:
In digital circuits, an adder–Subtractor is a circuit that is capable of adding or subtracting
numbers (in particular, binary). Adder-subtractor is a circuit that does adding or subtracting
depending on a control signal. It is also possible to construct a circuit that performs both addition
and subtraction at the same time.
4 Bit Binary Adder
A binary adder is a digital circuit that produces the arithmetic sum of two binary numbers. It can
be constructed with full adders connected in cascade, with the output carry from each full adder
connected to the input carry of next full adder in chain. The augends bits of ‘A’ and the addend
bits of ‘B’ are designated by subscript numbers from right to left, with subscript 0 denoting the
least significant bits. The carries are connected in chain through the full adder. The input carry to
the adder is C0 and it ripples through the full adder to the output carry C4.
4 Bit Binary Subtractor
The circuit for subtracting A-B consists of an adder with inverters, placed between each data
input ‘B’ and the corresponding input of full adder. The input carry C0 must be equal to 1 when
performing subtraction.
4 Bit Binary Adder/Subtractor
The addition and subtraction operation can be combined into one circuit with one common
binary adder. The mode input M controls the operation. When M=0, the circuit is adder circuit.
When M=1, it becomes Subtractor.
Pin Diagram For IC 7483
LOGIC DIAGRAM:
33
Logic Diagram for 4-Bit Binary Adder
Logic Diagram for 4-Bit Binary Subtractor:
Logic Diagram for 4-Bit Binary Adder/Subtractor:
34
Procedure:
1. Test the digital ICs with the help of IC tester.
2. Make the connections as per the circuit diagram.
3. Connect the output pin on LED through Resistor.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Observe the logical output and verify with the truth tables.
Result: Thus the 4-bit adder and subtractor using IC 7483 was designed and implemented.
Conclusion: For various combinations of selected input lines, observed the LED output and
verified the truth table.
Precautions:
1. All ICs should be checked before starting the experiment.
2. All the connection should be tight.
3. Always connect ground first and then connect Vcc.
Pre Experiment Questions:
1. What is BCD adder?
35
2. What is the difference between adder and parallel adder?
Post Experiment Questions:
1. How many full adders are required to construct an m-bit parallel adder?
2. What is propagation delay?
3. Explain the carry propagation delay in 4 bit parallel adder.
36
Experiment No: 6
Aim: To verify the truth table of 4-to-1 multiplexer and 1-to-4 demultiplexer. Realize the
multiplexer using basic gates only. Also to construct an 8-to-1 multiplexer and 1-to-8
demultiplexer using blocks of 4-to-1 multiplexer and 1-to-4 demultiplexer.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(74153, 74155, 7408, 7432, 7404, 7411)
Theory:
MULTIPLEXER:
Combinational logic switching devices that operate like a very fast acting multiple position
rotary A data selector, more commonly called a Multiplexer, shortened to "Mux" or "MPX", are
switch. They connect or control, multiple input lines called "channels" consisting of 2, 4, 8 or 16
individual inputs, one at a time to an output. Then the job of a multiplexer is to allow multiple
signals to share a single common output.
Multiplexer means transmitting a large number of information units over a smaller number of
channels or lines. A digital multiplexer is a combinational circuit that selects binary information
from one of many input lines and directs it to a single output line. The selection of a particular
input line is controlled by a set of selection lines. Normally there are 2n input line and n selection
lines whose bit combination determine which input is selected.
DEMULTIPLEXER:
The function of De-multiplexer is in contrast to multiplexer function. It takes information from
one line and distributes it to a given number of output lines. For this reason, the de-multiplexer is
also known as a data distributor. Decoder can also be used as de-multiplexer. In the 1: 4 de-
multiplexer circuit, the data input line goes to all of the AND gates. The data select lines enable
only one gate at a time and the data on the data input line will pass through the selected gate to
the associated data output line.
BLOCK DIAGRAM FOR 4:1 MULTIPLEXER:
37
CIRCUIT DIAGRAM FOR MULTIPLEXER:
TRUTH TABLE:
S1 S0 Y = OUTPUT
0 0 D0
0 1 D1
1 0 D2
1 1 D3
BLOCK DIAGRAM FOR 1:4 DEMULTIPLEXER:
38
FUNCTION TABLE:
S1 S0 INPUT
0 0 X → D0 = X S1’ S0’
0 1 X → D1 = X S1’ S0
1 0 X → D2 = X S1 S0’
1 1 X → D3 = X S1 S0
Y = X S1’ S0’ + X S1’ S0 + X S1 S0’ + X S1 S0
LOGIC DIAGRAM FOR DEMULTIPLEXER:
TRUTH TABLE:
INPUT OUTPUT
S1 S0 I/P D0 D1 D2 D3
39
0 0 0 0 0 0 0
0 0 1 1 0 0 0
0 1 0 0 0 0 0
0 1 1 0 1 0 0
1 0 0 0 0 0 0
1 0 1 0 0 1 0
1 1 0 0 0 0 0
1 1 1 0 0 0 1
PIN DIAGRAM FOR IC 74153
TRUTH TABLE OF 4:1 MUX(IC 74153) (CHANNEL A) WITH ACTIVE LOW MODE:
Inputs(Channel A) Select lines Output
Ea Iao Ia1 Ia2 Ia3 S0 S1 Za
1 × × × × × × 0
0 0 × × × 0 0 0
0 1 × × × 0 0 1
0 × 0 × × 0 1 0
0 × 1 × × 0 1 1
0 × × 0 × 1 0 0
0 × × 1 × 1 0 1
0 × × × 0 1 1 0
0 × × × 1 1 1 1
PIN DIAGRAM OF DUAL 1:4 DEMUX (IC74155)
40
TRUTH TABLE OF 1:4 DEMUX(IC 74155) WITH ACTIVE LOW MODE:
Input(Channel A) Output
Ea S0 S1 D0 D1 D2 D3
1 × × 1 1 1 1
0 0 0 0 1 1 1
0 0 1 1 0 1 1
0 1 0 1 1 0 1
0 1 1 1 1 1 0
IMPLEMENTATION OF 8:1 MULTIPLEXER USING 4:1 MUX:
CIRCUIT OF 8:1 MUX USING DUAL 4:1 MUX
41
TRUTH TABLE OF 8:1 MUX
Truth table of 8:1 MUX
IMPLEMENTATION OF 1:8 MULTIPLEXER USING 1:4 MUX:
42
CIRCUIT OF 1:8 DMUX USING DUAL 1:4 DMUX
TRUTH TABLE OF 1:8 DEMUX
Procedure:
1. Test the digital ICs with the help of IC tester.
2. Make the connections as per the circuit diagram.
43
3. Connect the output pin on LED through Resistor.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Observe the logical output and verify with the truth tables.
Result: Hence the 4:1mux and 1:4 de-mux are designed with basic gate as given in the figure
and verified with the truth table. Design of 1:8 de-mux and 8:1mux are designed with 1:4 de-
mux and 4:1mux as given in circuit.
Conclusion: The multiplexer and demultiplexer was also designed USING IC 74153 , IC 74138,IC
74155 and verified the truth table.
Precautions:
1. All ICs should be checked before starting the experiment.
2. All the connection should be tight.
3. Always connect ground first and then connect Vcc.
Pre Experiment Questions:
1. What is the function of the enable input in a Multiplexer?
2. What is the difference between multiplexer and decoder?
3. How many select lines will a 32:1 multiplexer will have
Post Experiment Questions:
1. Give the applications of Demultiplexer.
2. List out the applications of multiplexer?
3. Realize the following Boolean expression using 4:1 MUXs only
.
44
Experiment No: 7
Aim : Design and realize a combinational circuit that will accept the 2421 BCD code and drive a
TIL-312 to 7-Segment Display
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7447), register (200 Ω / 330 Ω), seven segment display
Theory:
The Binary Coded Decimal (BCD) to 7-Segment Display Decoder. 7-segment LED (Light
Emitting Diode) or LCD (Liquid Crystal) displays, provide a very convenient way of displaying
information or digital data in the form of numbers, letters or even alpha-numerical characters and
they consist of 7 individual LED's (the segments), within from 0 to 9 and A to F respectively, on
the display the correct combination of LED segments need to be illuminated and BCD to 7-
segment Display Decoders such as the 74LS47 do just that. A standard 7-segment LED display
generally has 8 input connections, one for each LED segment and one that acts as a common
terminal or connection for all the internal segments.
There are two important types of 7-segment LED digital display.
 The Common Cathode Display (CCD) - In the common cathode display, all the cathode
connections of the LED's are joined together to logic "0" and the individual segments are
illuminated by application of a "HIGH", logic "1" signal to the individual Anode terminals.
 The Common Anode Display (CAD) - In the common anode display, all the anode
connections of the LED's are joined together to logic "1" and the individual segments are
illuminated by connecting the individual Cathode terminals to a "LOW", logic "0" signal.
7-Segment Display Format
45
7-Segment Display Elements for all Numbers.
It can be seen that to display any single digit number from 0 to 9 or letter from A to F, we would
need 7 separate segment connections plus one additional connection for the LED's "common"
connection. Also as the segments are basically a standard light emitting diode, the driving circuit
would need to produce up to 20mA of current to illuminate each individual segment and to
display the number 8, all 7 segments would need to be lit resulting a total current of nearly
140mA, (8 x 20mA). Obviously, the use of so many connections and power consumption is
impractical for some electronic or microprocessor based circuits and so in order to reduce the
number of signal lines required to drive just one single display, display decoders such as the
BCD to 7-Segment Display Decoder and Driver IC's are used instead.
2421 CODES:
The 2421 code utilizes weighted binary codes with 4 bits.Weighted binary codes are of the form:
A3B3 + A2B2 + A1B1 + A0B0
The terms in ‘B’ are referred to as Code Weights and those in ‘A’ are the binary codes. Note that
since 2 + 4 + 2 + 1 = 9, only the number set 0 – 9 can be represented in each 4 bits.The
conversion from 2421 code can also be done by:
2B3 + 4B2 + 2B1 + 1B0 = Base 10 equivalent ,the co-efficient 2,4,2,1 are called the code
weights. Using 4 bits and binary representations, there are 16 possible combinations. However,
the 2421 system only utilizes 10 distinct combinations, leaving 6 illegal combinations. This
property allows for error detection in a system. The 2421 code is self-complementing, meaning
that the code word for the 9’s complement of any digit may be obtained by complementing the
individual bits of the 2421 code word.
Binary Coded Decimal
Binary Coded Decimal (BCD or "8421" BCD) numbers are made up using just 4 data bits (a
nibble or half a byte) BCD numbers only range from 0 to 9, with the binary number patterns of
1010 through to 1111 (A to F) being invalid inputs for this type of display and so are not used as
shown below.
46
Design a combinational circuit for converting 2421 code to BCD code.
Both the 2421 code and BCD code are 4-bit codes and represent the decimal equivalents 0 to 9.
To design the converter circuit for the above, first the truth table is prepared with the input
variables W, X, Y, and Z of 2421 code, and the output variables A, B, C, and D. Karnaugh maps
to obtain the simplified expressions of the output functions are shown in Figure. Unused
combinations are considered as don’t-care condition.
Decimal
Equivalent
2421 INPUT BCD OUTPUT
W X Y Z A B C D
0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1
2 0 0 1 0 0 0 1 0
3 0 0 1 1 0 0 1 1
4 0 1 0 0 0 1 0 0
5 1 0 1 1 0 1 0 1
6 1 1 0 0 0 1 1 0
7 1 1 0 1 0 1 1 1
8 1 1 1 0 1 0 0 0
9 1 1 1 1 1 0 0 1
K map:
Y′Z′ Y′Z YZ YZ′
W′X′
47
Y′Z′ Y′Z YZ YZ′
W′X′
W′X X X X
WX 1 1
WX′ X X X
(a) Karnaugh map for A.
(b) Karnaugh map for B.
Y′Z′ Y′Z YZ YZ′
W′X′ 1 1
W′X X X X
WX 1 1
WX′ X X X
(c) Karnaugh map for C.
(d) Karnaugh map for D.
Logic Diagram for 2421 to BCD code conversion
BCD to 7-Segment Display Decoders
W′X 1 X X X
WX 1 1
WX′ X X 1 X
Y′Z′ Y′Z YZ YZ′
W′X′ 1 1
W′X X X X
WX 1 1
WX′ X X 1 X
48
A binary coded decimal (BCD) to 7-segment display decoder such as the TTL 74LS47 or
74LS48, have 4 BCD inputs and 7 output lines, one for each LED segment. This allows a smaller
4-bit binary number (half a byte) to be used to display all the denary numbers from 0 to 9 and by
adding two displays together, a full range of numbers from 00 to 99 can be displayed with just a
single byte of 8 data bits.
The use of packed BCD allows two BCD digits to be stored within a single byte (8-bits) of data,
allowing a single data byte to hold a BCD number in the range of 00 to 99.
PIN diagram for 7447 IC:
49
Result: Hence the BCD to seven segment display is designed with BCD to seven segment
display IC as given in the figure and verified with the truth table.
Conclusion: Thus we had concluded that 7 segment display can be implemented using IC,s and we
had seen different numbers 0-9.
Precautions:
• All connections should be made neat and tight.
• Digital lab kits and ICs should be handled with utmost care.
• While making connections main voltage should be kept switched off.
• Never touch live and naked wires.
Pre Experiment Questions:
1. What is code conversion?
2. Can a decoder function as a Demultiplexer?
Post Experiment Questions:
1. Give the applications of seven segment display?
50
Experiment No: 8
Aim: Using basic logic gates realize the R-S, J-K and D flip flops with and without clock pulse
and verify truth table.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
(7400, 7402, 7404, 7408, 7432)
Theory:
Sequential Logic circuits have some form of inherent "Memory" built in to them as they are able
to take into account their previous input state as well as those actually present, a sort of "before"
and "after" is involved with sequential circuits.
In other words, the output state of a sequential logic circuit is a function of the following three
states, the "present input", the "past input" and/or the "past output". Sequential Logic circuits
remember these conditions and stay fixed in their current state until the next clock signal changes
one of the states, giving sequential logic circuits "Memory".
Sequential logic circuits are generally termed as two state or Bistable devices which can have
their output or outputs set in one of two basic states, a logic level "1" or a logic level "0" and will
remain "latched" (hence the name latch) indefinitely in this current state or condition until some
other input trigger pulse or signal is applied which will cause the bistable to change its state once
again.
Sequential Logic Representation
The word "Sequential" means that things happen in a "sequence", one after another and in
Sequential Logic circuits, the actual clock signal determines when things will happen next.
Simple sequential logic circuits can be constructed from standard Bistable circuits such as Flip-
51
flops, Latches and Counters and which themselves can be made by simply connecting together
universal NAND Gates and/or NOR Gates in a particular combinational way to produce the
required sequential circuit.
FLIP-FLOP:-
"Flip-flop" is the common name given to two-state devices which offer basic memory for
sequential logic operations. Flip-flops are heavily used for digital data storage and transfer and
are commonly used in banks called "register" for the storage of binary numerical data.
The basic Flip Flop or S-R Flip Flop
The SET-RESET flip flop is designed with the help of two NOR gates and also two NAND
gates. These flip flops are also called S-R Latch.
 S-R Flip Flop using NOR Gate
The design of such a flip flop includes two inputs, called the SET [S] and RESET [R]. There are
also two outputs, Q and Q’. The diagram and truth table is shown below.
From the diagram it is evident that the flip flop has mainly four states. They are
S=1, R=0—Q=1, Q’=0
This state is also called the SET state.
S=0, R=1—Q=0, Q’=1
This state is known as the RESET state.
In both the states you can see that the outputs are just compliments of each other and that the
value of Q follows the value of S.
S=0, R=0—Q & Q’ = Remember
If both the values of S and R are switched to 0, then the circuit remembers the value of S and R
in their previous state.
S=1, R=1—Q=0, Q’=0 [Invalid]
52
This is an invalid state because the values of both Q and Q’ are 0. They are supposed to be
compliments of each other. Normally, this state must be avoided.
 S-R Flip Flop using NAND Gate
The circuit of the S-R flip flop using NAND Gate and its truth table is shown below.
Like the NOR Gate S-R flip flop, this one also has four states. They are
S=1, R=0—Q=0, Q’=1
This state is also called the SET state.
S=0, R=1—Q=1, Q’=0
This state is known as the RESET state.
In both the states you can see that the outputs are just compliments of each other and that the
value of Q follows the compliment value of S.
S=0, R=0—Q=1, & Q’ =1 [Invalid]
If both the values of S and R are switched to 0 it is an invalid state because the values of both Q
and Q’ are 1. They are supposed to be compliments of each other. Normally, this state must be
avoided.
S=1, R=1—Q & Q’= Remember
If both the values of S and R are switched to 1, then the circuit remembers the value of S and R
in their previous state.
 Clocked S-R Flip Flop
It is also called a Gated S-R flip flop.
53
The problems with S-R flip flops using NOR and NAND gate is the invalid state. This problem
can be overcome by using a bistable SR flip-flop that can change outputs when certain invalid
states are met, regardless of the condition of either the Set or the Reset inputs. For this, a clocked
S-R flip flop is designed by adding two AND gates to a basic NOR Gate flip flop. The circuit
diagram and truth table is shown below.
A clock pulse [CP] is given to the inputs of the AND Gate. When the value of the clock pulse is
’0′, the outputs of both the AND Gates remain ’0′. As soon as a pulse is given the value of CP
turns ’1′. This makes the values at S and R to pass through the NOR Gate flip flop. But when the
values of both S and R values turn ’1′, the HIGH value of CP causes both of them to turn to ’0′
for a short moment. As soon as the pulse is removed, the flip flop state becomes intermediate.
Thus either of the two states may be caused, and it depends on whether the set or reset input of
the flip-flop remains a ’1′ longer than the transition to ’0′ at the end of the pulse. Thus the invalid
states can be eliminated.
D Flip Flop
D flip flop is actually a slight modification of the above explained clocked SR flip-flop. From the
figure you can see that the D input is connected to the S input and the complement of the D input
is connected to the R input. The D input is passed on to the flip flop when the value of CP is ’1′.
When CP is HIGH, the flip flop moves to the SET state. If it is ’0′, the flip flop switches to the
CLEAR state.
 D Flip Flop without clock
The circuit diagram of D latch with NAND gates:
54
 Clocked D Flip Flop
J-K Flip Flop
A J-K flip flop can also be defined as a modification of the S-R flip flop. The only difference is
that the intermediate state is more refined and precise than that of an S-R flip flop.
55
The behavior of inputs J and K is same as the S and R inputs of the S-R flip flop. The letter J
stands for SET and the letter K stands for CLEAR.
 JK Flip Flop without clock
When both the inputs J and K have a HIGH state, the flip-flop switches to the complement state.
So, for a value of Q = 1, it switches to Q=0 and for a value of Q = 0, it switches to Q=1.
The circuit diagram and truth-table of a J-K flip flop is shown below.
The circuit includes two 3-input AND gates. The output Q of the flip flop is returned back as a
feedback to the input of the AND along with other inputs like K and clock pulse [CP]. So, if the
value of CP is ’1′, the flip flop gets a CLEAR signal and with the condition that the value of Q
was earlier 1. Similarly output Q’ of the flip flop is given as a feedback to the input of the AND
along with other inputs like J and clock pulse [CP]. So the output becomes SET when the value
of CP is 1 only if the value of Q’ was earlier 1.
56
The output may be repeated in transitions once they have been complimented for J=K=1 because
of the feedback connection in the JK flip-flop. This can be avoided by setting a time duration
lesser than the propagation delay through the flip-flop. The restriction on the pulse width can be
eliminated with a master-slave or edge-triggered construction.
Procedure:
1. Test the digital ICs with the help of IC tester.
2. Make the connections as per the circuit diagram.
3. Connect the output pin on LED through Resistor.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Observe the logical output and verify with the truth tables.
Result: Hence the flip flops are designed with basic gate IC and verified with the truth table.
Conclusion: Also we have verified the truth table for JK and D flip flop using ICs 7476 for JK and
7474 for D flip flops.
Precautions: All the connections should be made properly.
Pre Experiment Questions:
1.What is sequential circuit?
2.What is Synchronous sequential circuit?
3.What is an excitation table?
4.What do you mean by triggering of flip-flop.
5.What is called latch?
Post Experiment Questions:
1.What advantage does a J-K Flip-flop have over an S-R?
2.What is meant by Race around condition?
57
Experiment No: 9
Aim: Construct a divide by 2, 4 & 8 asynchronous counter. Construct a 4-bit binary counter and
ring counter for a particular output pattern using D flip flop.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital IC
7474
Theory: A counter is a register capable of counting number of clock pulse arriving at its clock
input. Counter represents the number of clock pulses arrived. An up/down counter is one that is
capable of progressing in increasing order or decreasing order through a certain sequence. An
up/down counter is also called bidirectional counter. Usually up/down operation of the counter is
controlled by up/down signal.
Asynchronous or ripple counters are arranged in such a way that the output of one flip flop
changes the state of the next. In a long chain of ripple counter stages, the last flip flop changes
its state considerably later than the first FF due to propagation delays in each stage. If the output
lines are connected to logic which can respond to these in-between states, glitches can occur that
are so fast the error may be difficult to track down.
The 2-bit ripple counter circuit has four different states, each one corresponding to a count value.
Similarly, a counter with n flip-flops can have 2 to the power n states. The number of states in a
counter is known as its mod (modulo) number. Thus a 2-bit counter is a mod-4 counter.
A mod-n counter may also describe as a divide-by-n counter. This is because the most
significant flip-flop (the furthest flip-flop from the original clock pulse) produces one pulse for
every n pulses at the clock input of the least significant flip-flop (the one triggers by the clock
pulse). Thus, the above counter is an example of a divide-by-4 counter.
Divide by Two Counter
The edge-triggered D-type ip-ops which we introduced in the previous Section are quite useful
and versatile building blocks of sequential logic. A simple application is the divide-by-2counter
shown in Fig. 1, along with the corresponding timing diagram.
58
Divide by four Counter
Since the Q output of the first flip flop changes every time the clock input goes low, it effectively
divides the input frequency by 2. The other output of the flip flop becomes the clock input to the
next stage, so the output of the second flip flop divides the original input frequency by 4. Hence
the term "divide-by-4" or "modulo-4".
Divide by Eight Counter
We can chain as many ripple counters together as we like. A three bit ripple counter will count
23
=8 numbers, and an n-bit ripple counter will count 2n
numbers.
The problem with ripple counters is that each new stage put on the counter adds a delay. This
propagation delay is seen when we look at a less idealized timing diagram:
59
Binary 4 bit UP-COUNTER
Ring Counter
60
A ring counter is a Shift Register (a cascade connection of flip-flops) with the output of the last
flip flop connected to the input of the first. It is initialized such that only one of the flip flop
output is 1 while the remainder is 0. The 1 bit is circulated so the state repeats every n clock
cycles if n flip-flops are used. The "MOD" or "MODULUS" of a counter is the number of unique
states. The MOD of the n flip flop ring counter is n.
The following is a 4-bit ring counter constructed from D flip-flops. The output of each stage is
shifted into the next stage on the positive edge of a clock pulse. If the CLEAR signal is high, all
the flip-flops except the first one FF0 are reset to 0. FF0 is preset to 1 instead.
Since the count sequence has 4 distinct states, the counter can be considered as a mod-4 counter.
Only 4 of the maximum 16 states are used, making ring counters very inefficient in terms of
state usage. But the major advantage of a ring counter over a binary counter is that it is self-
decoding. No extra decoding circuit is needed to determine what state the counter is in.
IC used for realizing above counters:
61
Procedure:
1. Test the digital ICs with the help of IC tester.
2. Make the connections as per the circuit diagram.
3. Connect the output pin on LED through Resistor.
4. Switch on VCC and apply various combinations of input according to truth table.
5. Observe the logical output and verify with the truth tables.
Result: counters are designed with the help of trainer kit and verified with the truth table.
Conclusion: Thus the 4 bit ripple counter mod 10/ mod 12 ripple counters was implemented and
the truth table was verified.
Precautions:
1. All IC s should be check ed before starting the experiment .
2. All the connection should be tight.
3. Always connect ground first and then connect Vcc .
4. Suitable typ e wi re should be used for different types of circuit.
5. The kit should be off before change the connections .
6. After completed the experiments switch off the supply of the apparatus.
Pre Experiment Questions:
1. What is the difference between synchronous and asynchronous counter?
2. What is up and down counter?
62
Post Experiment Questions:
1. How many flip flops are required for designing the decade counter.
2. How the synchronous counters eliminate the delay problems encountered in
asynchronous counters.
3. Design Mod 5 counter in synchronous mode.
63
Experiment No: 10
Aim: Perform input/output operations on parallel in/parallel out and serial in/ serial out register
using clock.
Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs
7474
Theory:
A flip-flop stores 1-bit of digital information. It is also referred to as 1-bit register. An array of
flip-flops is required to store the no. of bits. This is called register. The data can be entered into
or retrieved from the register. A register is capable of shifting its binary information in one or
both directions is known as shift register. The logical configuration of shift register consist of a
D-Flip flop cascaded with output of one flip flop connected to input of next flip flop. All flip
flops receive common clock pulses which causes the shift in the output of the flip flop. The
simplest possible shift register is one that uses only flip flop. Depending on the way how the data
can be entered or retrieved there are four possible modes of operation.
1) Serial in serial out (SISO)
2) Serial in parallel out (SIPO)
3) Parallel in serial out (PISO)
4) Parallel in parallel out (PIPO)
Serial in serial out (SISO)
A Serial-in Serial-out shift register can be implemented using D-type flip-flops joined together,
the output of one flip-flop used as the input to the next flip-flop. The circuit for a 4-bit Serial-in
Serial-out shift register is shown below.
64
The operation of the serial-in Serial-out shift register can be easily explained. Consider the
circuit shown. On each clock edge (rising in this case) we can say the following about the
outputs of each stage of the register (i.e. each D-type flip-flop in the register):
Waveforms
Serial in parallel out (SIPO)
 In such types of operations, the data is entered serially and taken out in parallel fashion.
65
 Data is loaded bit by bit. The outputs are disabled as long as the data is loading.
 As soon as the data loading gets completed, all the flip-flops contain their required data, the
outputs are enabled so that all the loaded data is made available over all the output lines at
the same time.
 4 clock cycles are required to load a four bit word. Hence the speed of operation of SIPO
mode is same as that of SISO mode.
Truth Table
Clock Pulse No QA QB QC QD
0 0 0 0 0
1 1 0 0 0
2 0 1 0 0
3 0 0 1 0
4 0 0 0 1
5 0 0 0 0
Parallel-in to Serial-out (PISO)
The Parallel-in to Serial-out shift register acts in the opposite way to the serial-in to parallel-out
one above. The data is loaded into the register in a parallel format i.e. all the data bits enter their
66
inputs simultaneously, to the parallel input pins PA to PD of the register. The data is then read out
sequentially in the normal shift-right mode from the register at Q representing the data present at
PA to PD. This data is outputted one bit at a time on each clock cycle in a serial format. It is
important to note that with this system a clock pulse is not required to parallel load the register as
it is already present, but four clock pulses are required to unload the data.
Truth Table
CLK Q3 Q2 Q1 Q0 O/P
0 1 0 0 1 1
1 0 0 0 0 0
2 0 0 0 0 0
3 0 0 0 0 1
As this type of shift register converts parallel data, such as an 8-bit data word into serial format,
it can be used to multiplex many different input lines into a single serial DATA stream which
can be sent directly to a computer or transmitted over a communications line.
Parallel-in to Parallel-out (PIPO)
The final mode of operation is the Parallel-in to Parallel-out Shift Register. This type of register
also acts as a temporary storage device or as a time delay device similar to the SISO
configuration above. The data is presented in a parallel format to the parallel input pins PA to PD
and then transferred together directly to their respective output pins QA to QA by the same clock
pulse. Then one clock pulse loads and unloads the register. This arrangement for parallel loading
and unloading is shown below.
67
TRUTH TABLE:
CLK DATA INPUT OUTPUT
DA DB DC DD QA QB QC QD
1 1 0 0 1 1 0 0 1
2 1 0 1 0 1 0 1 0
Pin Diagram for IC 7495:
68
69
PISO
PROCEDURE:
70
Serial In Parallel Out(SIPO):
1. Connections are made as per circuit diagram.
2. Apply the data at serial i/p
3. Apply one clock pulse at clock 1 (Right Shift) observe this data at QA.
4. Apply the next data at serial i/p.
5. Apply one clock pulse at clock 2, observe that the data on QA will shift to
QB and the new data applied will appear at QA.
6. Repeat steps 2 and 3 till all the 4 bits data are entered one by one into the
shift register.
Serial In Serial Out (SISO):
1. Connections are made as per circuit diagram.
2. Load the shift register with 4 bits of data one by one serially.
3. At the end of 4th clock pulse the first data ‘d0’ appears at QD.
4. Apply another clock pulse; the second data ‘d1’ appears at QD.
5. Apply another clock pulse; the third data appears at QD.
6. Application of next clock pulse will enable the 4th data ‘d3’ to appear at
QD. Thus the data applied serially at the input comes out serially at QD
Parallel In Serial Out (PISO):
1. Connections are made as per circuit diagram.
2. Apply the desired 4 bit data at A, B, C and D.
3. Keeping the mode control M=1 apply one clock pulse. The data applied at
A, B, C and D will appear at QA, QB, QC and QD respectively.
4. Now mode control M=0. Apply clock pulses one by one and observe the
Data coming out serially at QD
Parallel In Parallel Out (PIPO):
1. Connections are made as per circuit diagram.
2. Apply the 4 bit data at A, B, C and D.
3. Apply one clock pulse at Clock 2 (Note: Mode control M=1).
4. The 4 bit data at A, B, C and D appears at QA, QB, QC and QD
respectively.
Result: Thus the Serial in serial out, Serial in parallel out, Parallel in serial out and Parallel in
parallel out shift registers were implemented using IC 7495.
71
Conclusion: shift registers using IC 7495 in all its modes i.e.SIPO/SISO, PISO/PIPO are
verified and outputs are successfully observed on CRO.
Precautions:
1. All the connections should be made properly.
2. IC should not be reversed.
Pre Experiment Questions:
1. What is bi-directional shift register and unidirectional shift register?
2. Write the uses of a shift register?
Post Experiment Questions:
1. Shifting a register content to left by one bit position is equivalent to
(A) division by two. (B) addition by two.
(B) multiplication by two. (D) subtraction by two.
2. Can a shift register be used as a counter? If yes, explain how?
.

More Related Content

What's hot

PLA
PLAPLA
Logic gates
Logic gatesLogic gates
Logic gates
Oscar Ospina Romero
 
Digital logic gate and its application
Digital logic gate and its applicationDigital logic gate and its application
Digital logic gate and its application
Abdullah Al Masud
 
IC fmaillies.ppt
IC fmaillies.pptIC fmaillies.ppt
IC fmaillies.ppt
NarendrakumarAnnadur
 
Security System Using XOR gate NOR gate.
Security System Using XOR gate NOR gate.Security System Using XOR gate NOR gate.
Security System Using XOR gate NOR gate.
Md.Imtiaz Ahmed
 
Fault Detection Methods in Sequential System
Fault Detection Methods in Sequential SystemFault Detection Methods in Sequential System
Fault Detection Methods in Sequential System
Shivang Dubey
 
Ic 555
Ic 555Ic 555
Logic gate
Logic gateLogic gate
Logic gate
Prasanna Bandara
 
Ec 261 ec 1 lab manual
Ec 261 ec 1 lab manualEc 261 ec 1 lab manual
Ec 261 ec 1 lab manual
vikas558
 
Logic families
Logic familiesLogic families
Logic families
SARITHA REDDY
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Satya P. Joshi
 
prom,pld problems
prom,pld problemsprom,pld problems
prom,pld problemsAnish Gupta
 
Need of Decoupling Capacitor
Need of Decoupling CapacitorNeed of Decoupling Capacitor
Need of Decoupling Capacitor
VLSI SYSTEM Design
 
Logic Gate
Logic GateLogic Gate
Logic Gate
Công Mai Văn
 
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav RaikarDigital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
GauravRaikar3
 
CMOS Logic Circuits
CMOS Logic CircuitsCMOS Logic Circuits
CMOS Logic Circuits
Marmik Kothari
 
Logic gates
Logic gatesLogic gates
Logic gates
Rahul Thukral
 
Timer 555
Timer 555 Timer 555
Timer 555
nawafino
 

What's hot (20)

PLA
PLAPLA
PLA
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Digital logic gate and its application
Digital logic gate and its applicationDigital logic gate and its application
Digital logic gate and its application
 
IC fmaillies.ppt
IC fmaillies.pptIC fmaillies.ppt
IC fmaillies.ppt
 
Security System Using XOR gate NOR gate.
Security System Using XOR gate NOR gate.Security System Using XOR gate NOR gate.
Security System Using XOR gate NOR gate.
 
LOGIC GATES
LOGIC GATESLOGIC GATES
LOGIC GATES
 
Fault Detection Methods in Sequential System
Fault Detection Methods in Sequential SystemFault Detection Methods in Sequential System
Fault Detection Methods in Sequential System
 
Ic 555
Ic 555Ic 555
Ic 555
 
Logic gate
Logic gateLogic gate
Logic gate
 
555 Timer Ic
555 Timer Ic555 Timer Ic
555 Timer Ic
 
Ec 261 ec 1 lab manual
Ec 261 ec 1 lab manualEc 261 ec 1 lab manual
Ec 261 ec 1 lab manual
 
Logic families
Logic familiesLogic families
Logic families
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
 
prom,pld problems
prom,pld problemsprom,pld problems
prom,pld problems
 
Need of Decoupling Capacitor
Need of Decoupling CapacitorNeed of Decoupling Capacitor
Need of Decoupling Capacitor
 
Logic Gate
Logic GateLogic Gate
Logic Gate
 
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav RaikarDigital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
Digital clock (mod counters)using DSCH (DIGITAL SCHEMATIC) by Gaurav Raikar
 
CMOS Logic Circuits
CMOS Logic CircuitsCMOS Logic Circuits
CMOS Logic Circuits
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Timer 555
Timer 555 Timer 555
Timer 555
 

Similar to 2th year iv sem de lab manual

Boolean Algebra- Digital Logic gates
Boolean Algebra- Digital Logic gatesBoolean Algebra- Digital Logic gates
Boolean Algebra- Digital Logic gates
NTBsnull
 
Deld lab manual
Deld lab manualDeld lab manual
Deld lab manual
Vivek Kumar Sinha
 
Lab no 4
Lab no 4Lab no 4
Lab no 4
Abu-ul-Haris
 
Logic Gates & Family.pdf
Logic Gates & Family.pdfLogic Gates & Family.pdf
Logic Gates & Family.pdf
DanishKhan313548
 
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Jikrul Sayeed
 
Logic gates
Logic gatesLogic gates
ECAD lab manual
ECAD lab manualECAD lab manual
ECAD lab manual
Dr. Swaminathan Kathirvel
 
Cse
CseCse
Logic gates
Logic gatesLogic gates
Logic gatesrevised.ppt
Logic gatesrevised.pptLogic gatesrevised.ppt
Logic gatesrevised.ppt
DevanDev7
 
Worksheet de 1.2
Worksheet de 1.2Worksheet de 1.2
Worksheet de 1.2
ManojB66
 
Logic gates and Boolean Algebra_VSG
Logic gates and Boolean Algebra_VSGLogic gates and Boolean Algebra_VSG
Logic gates and Boolean Algebra_VSG
VaibhavGalbale1
 
Lab1
Lab1Lab1
assignment_mathematics.pptx
assignment_mathematics.pptxassignment_mathematics.pptx
assignment_mathematics.pptx
AravindaAKumar1
 
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa1608 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16John Todora
 
Digital logic
Digital logicDigital logic
Digital logic
Madhu Bala
 
Assignment#1a
Assignment#1aAssignment#1a
Assignment#1a
Sunita Milind Dol
 
Digital Logic Design basic gate and Logic Probe
Digital Logic Design basic gate and Logic ProbeDigital Logic Design basic gate and Logic Probe
Digital Logic Design basic gate and Logic Probe
AQCreations
 
Basic Logic Gates with Truth Tables.pdf
Basic Logic Gates with Truth Tables.pdfBasic Logic Gates with Truth Tables.pdf
Basic Logic Gates with Truth Tables.pdf
KINGZzofYouTube
 

Similar to 2th year iv sem de lab manual (20)

Boolean Algebra- Digital Logic gates
Boolean Algebra- Digital Logic gatesBoolean Algebra- Digital Logic gates
Boolean Algebra- Digital Logic gates
 
Deld lab manual
Deld lab manualDeld lab manual
Deld lab manual
 
Lab no 4
Lab no 4Lab no 4
Lab no 4
 
Logic Gates & Family.pdf
Logic Gates & Family.pdfLogic Gates & Family.pdf
Logic Gates & Family.pdf
 
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
 
Logic gates
Logic gatesLogic gates
Logic gates
 
ECAD lab manual
ECAD lab manualECAD lab manual
ECAD lab manual
 
Cse
CseCse
Cse
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Logic gatesrevised.ppt
Logic gatesrevised.pptLogic gatesrevised.ppt
Logic gatesrevised.ppt
 
Worksheet de 1.2
Worksheet de 1.2Worksheet de 1.2
Worksheet de 1.2
 
Logic gates and Boolean Algebra_VSG
Logic gates and Boolean Algebra_VSGLogic gates and Boolean Algebra_VSG
Logic gates and Boolean Algebra_VSG
 
Lab1
Lab1Lab1
Lab1
 
assignment_mathematics.pptx
assignment_mathematics.pptxassignment_mathematics.pptx
assignment_mathematics.pptx
 
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa1608 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
 
Digital logic
Digital logicDigital logic
Digital logic
 
Assignment#1a
Assignment#1aAssignment#1a
Assignment#1a
 
Logic gates (1)
Logic gates (1)Logic gates (1)
Logic gates (1)
 
Digital Logic Design basic gate and Logic Probe
Digital Logic Design basic gate and Logic ProbeDigital Logic Design basic gate and Logic Probe
Digital Logic Design basic gate and Logic Probe
 
Basic Logic Gates with Truth Tables.pdf
Basic Logic Gates with Truth Tables.pdfBasic Logic Gates with Truth Tables.pdf
Basic Logic Gates with Truth Tables.pdf
 

More from HARISH KUMAR MAHESHWARI

Physics :motion & friction solutions
Physics :motion & friction solutionsPhysics :motion & friction solutions
Physics :motion & friction solutions
HARISH KUMAR MAHESHWARI
 
Physics :motion & friction
Physics :motion & frictionPhysics :motion & friction
Physics :motion & friction
HARISH KUMAR MAHESHWARI
 
Microprocessor 8086 architecture and 8086 instruction
Microprocessor 8086 architecture and 8086 instructionMicroprocessor 8086 architecture and 8086 instruction
Microprocessor 8086 architecture and 8086 instruction
HARISH KUMAR MAHESHWARI
 
microprocessor instruction and all co-processor programming and introduction ...
microprocessor instruction and all co-processor programming and introduction ...microprocessor instruction and all co-processor programming and introduction ...
microprocessor instruction and all co-processor programming and introduction ...
HARISH KUMAR MAHESHWARI
 
Microprocessor instruction set, interrupts and programming
Microprocessor instruction set, interrupts and programmingMicroprocessor instruction set, interrupts and programming
Microprocessor instruction set, interrupts and programming
HARISH KUMAR MAHESHWARI
 
Microprocessor Number system, 8085 Architecture and addressing modes
Microprocessor Number system, 8085 Architecture and addressing modesMicroprocessor Number system, 8085 Architecture and addressing modes
Microprocessor Number system, 8085 Architecture and addressing modes
HARISH KUMAR MAHESHWARI
 
General science for all examinations
General science for all examinationsGeneral science for all examinations
General science for all examinations
HARISH KUMAR MAHESHWARI
 
Static electricity
Static electricityStatic electricity
Static electricity
HARISH KUMAR MAHESHWARI
 
Mechanics of train movement
Mechanics of train movementMechanics of train movement
Mechanics of train movement
HARISH KUMAR MAHESHWARI
 
Digital electronics notes
Digital electronics notesDigital electronics notes
Digital electronics notes
HARISH KUMAR MAHESHWARI
 
Magnetism solution
Magnetism solutionMagnetism solution
Magnetism solution
HARISH KUMAR MAHESHWARI
 
Electrochemical cell
Electrochemical cellElectrochemical cell
Electrochemical cell
HARISH KUMAR MAHESHWARI
 
Electrochemical cell solutions
Electrochemical cell solutionsElectrochemical cell solutions
Electrochemical cell solutions
HARISH KUMAR MAHESHWARI
 
Atomic and nuclear physics basics solution
Atomic and nuclear physics basics solutionAtomic and nuclear physics basics solution
Atomic and nuclear physics basics solution
HARISH KUMAR MAHESHWARI
 
3rd year vi sem ms lab
3rd year vi sem ms lab3rd year vi sem ms lab
3rd year vi sem ms lab
HARISH KUMAR MAHESHWARI
 
Electronic basic
Electronic basicElectronic basic
Electronic basic
HARISH KUMAR MAHESHWARI
 
Electricity
ElectricityElectricity
Light and human eye
Light and human eyeLight and human eye
Light and human eye
HARISH KUMAR MAHESHWARI
 
Miscellaneous previous years Physics questions
Miscellaneous previous years Physics questionsMiscellaneous previous years Physics questions
Miscellaneous previous years Physics questions
HARISH KUMAR MAHESHWARI
 
500 general science gk question notes
500 general science gk question notes500 general science gk question notes
500 general science gk question notes
HARISH KUMAR MAHESHWARI
 

More from HARISH KUMAR MAHESHWARI (20)

Physics :motion & friction solutions
Physics :motion & friction solutionsPhysics :motion & friction solutions
Physics :motion & friction solutions
 
Physics :motion & friction
Physics :motion & frictionPhysics :motion & friction
Physics :motion & friction
 
Microprocessor 8086 architecture and 8086 instruction
Microprocessor 8086 architecture and 8086 instructionMicroprocessor 8086 architecture and 8086 instruction
Microprocessor 8086 architecture and 8086 instruction
 
microprocessor instruction and all co-processor programming and introduction ...
microprocessor instruction and all co-processor programming and introduction ...microprocessor instruction and all co-processor programming and introduction ...
microprocessor instruction and all co-processor programming and introduction ...
 
Microprocessor instruction set, interrupts and programming
Microprocessor instruction set, interrupts and programmingMicroprocessor instruction set, interrupts and programming
Microprocessor instruction set, interrupts and programming
 
Microprocessor Number system, 8085 Architecture and addressing modes
Microprocessor Number system, 8085 Architecture and addressing modesMicroprocessor Number system, 8085 Architecture and addressing modes
Microprocessor Number system, 8085 Architecture and addressing modes
 
General science for all examinations
General science for all examinationsGeneral science for all examinations
General science for all examinations
 
Static electricity
Static electricityStatic electricity
Static electricity
 
Mechanics of train movement
Mechanics of train movementMechanics of train movement
Mechanics of train movement
 
Digital electronics notes
Digital electronics notesDigital electronics notes
Digital electronics notes
 
Magnetism solution
Magnetism solutionMagnetism solution
Magnetism solution
 
Electrochemical cell
Electrochemical cellElectrochemical cell
Electrochemical cell
 
Electrochemical cell solutions
Electrochemical cell solutionsElectrochemical cell solutions
Electrochemical cell solutions
 
Atomic and nuclear physics basics solution
Atomic and nuclear physics basics solutionAtomic and nuclear physics basics solution
Atomic and nuclear physics basics solution
 
3rd year vi sem ms lab
3rd year vi sem ms lab3rd year vi sem ms lab
3rd year vi sem ms lab
 
Electronic basic
Electronic basicElectronic basic
Electronic basic
 
Electricity
ElectricityElectricity
Electricity
 
Light and human eye
Light and human eyeLight and human eye
Light and human eye
 
Miscellaneous previous years Physics questions
Miscellaneous previous years Physics questionsMiscellaneous previous years Physics questions
Miscellaneous previous years Physics questions
 
500 general science gk question notes
500 general science gk question notes500 general science gk question notes
500 general science gk question notes
 

Recently uploaded

Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
R&R Consult
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 

Recently uploaded (20)

Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 

2th year iv sem de lab manual

  • 1. 1 ELECTRICAL AND ELECTRONICS ENGINEERING LAB MANUAL ON Digital Electronics Lab (Common for EE & EX) Lab CODE: 4EE4-23 B. Tech IV Semester Faculty Name- Mr. HARISH KUMAR MAHESHWARI
  • 2. 2 4EE4-23: Digital Electronics Lab 1) To verify the truth tables of basic logic gates: AND, OR, NOR, NAND, NOR. Also to verify the truth table of Ex-OR, Ex-NOR (For 2, 3, & 4 inputs using gates with 2, 3, & 4 inputs). 2) To verify the truth table of OR, AND, NOR, Ex-OR, Ex-NOR realized using NAND & NOR gates. 3) To realize an SOP and POS expression. 4) To realize Half adder/ Subtractor & Full Adder/ Subtractor using NAND & NOR gates and to verify their truth tables. 5) To realize a 4-bit ripple adder/ Subtractor using basic half adder/ Subtractor & basic Full Adder/ Subtractor. 6) To verify the truth table of 4-to-1 multiplexer and 1-to-4 demultiplexer. Realize the multiplexer using basic gates only. Also to construct and 8- to-1 multiplexer and 1-to-8 demultiplexer using blocks of 4-to-1 multiplexer and 1-to-4 demultiplexer. 7) Design & Realize a combinational circuit that will accept a 2421 BCD code and drive a TIL - 312 seven segment display. 8) Using basic logic gates, realize the R-S, J-K and D-flip flops with and without clock signal and verify their truth table. 9) Construct a divide by 2,4& 8 asynchronous counter. Construct a 4-bit binary counter and ring counter for a particular output pattern using D flip flop. 10) Perform input/output operations on parallel in/Parallel out and Serial in/Serial out registers using clock. Also exercise loading only one of multiple values into the register using multiplexer.
  • 3. 3 Experiment No: 1 Aim: To verify the truth tables of basic logic gates: AND, OR, NOR, NAND, NOR. Also verify the truth table of Ex-OR, Ex-NOR (For 2, 3 & 4 input using gates with 2, 3, & 4 inputs). Apparatus / Component Required: S.No. COMPONENT SPECIFICATION 1. 2 i/p AND GATE IC 7408 2. 2 i/p OR GATE IC 7432 3. NOT GATE IC 7404 4. 2 i/p XOR GATE IC 7486 5. 6. 7. 8. 9. 2 i/p NAND GATE 2 i/p NOR GATE 3 i/p NAND GATE 3 i/p AND GATE 3 i/p NOR GATE IC 7400 IC 7402 IC 7410 IC 7411 IC 7427 10. 11. 12. 4 i/p NAND GATE 4 i/p AND GATE 4 i/p NOR GATE IC 7420 IC 7421 IC 7425 13. IC TRAINER KIT - 14. WIRES - Theory: The Breadboard: The breadboard consists of two terminal strips and two bus strips (often broken in the centre). Each bus strip has two rows of contacts. Each of the two rows of contacts is a node. That is, each contact along a row on a bus strip is connected together (inside the breadboard). Bus strips are used primarily for power supply connections, but are also used for any node requiring a large number of connections. Each terminal strip has 60 rows and 5 columns of contacts on each side of the centre gap. Each row of 5 contacts is a node. You will build your circuits on the terminal strips by inserting the leads of circuit components into the contact receptacles and making connections with 22-26 gauge wire. There are wire cutter/strippers and a spool of wire in the lab. It is a good practice to wire +5V and 0V power supply connections to separate bus strips.
  • 4. 4 The 5V supply MUST NOT BE EXCEEDED since this will damage the ICs (Integrated circuits) used during the experiments. Incorrect connection of power to the ICs could Result in them exploding or becoming very hot - with the possible serious injury occurring to the people working on the experiment! Ensure that the power supply polarity and all components and connections are correct before switching on power. Building the Circuit Throughout these experiments we will use TTL chips to build circuits. The steps for wiring a circuit should be completed in the order described below: 1. Turn the power (Trainer Kit) off before you doing connections on breadboard. 2. Connect the +5V and ground (GND) leads of the power supply to the power and ground bus strips on your breadboard. 3. Plug the chips you will be using into the breadboard. Point all the chips in the same direction with pin 1 at the upper-left corner. (Pin 1 is often identified by a dot or a notch next to it on the chip package) 4. Connect +5V and GND pins of each chip to the power and ground bus strips on the breadboard. 5. Select a connection on your schematic and place a piece of hook-up wire between corresponding pins of the chips on your breadboard. It is better to make the short connections before the longer ones. Mark each connection on your schematic as you go, so as not to try to make the same connection again at a later stage. 6. Get one of your group members to check the connections, before you turn the power on. 7. If an error is made and is not spotted before you turn the power on. Turn the power off immediately before you begin to rewire the circuit. 8. At the end of the laboratory session, collect you hook-up wires, chips and all equipment
  • 5. 5 and return them to the demonstrator and switch off the power. 9. Tidy the area that you were working in and leave it in the same condition as it was before you started. Common Causes of Problems 1. Not connecting the ground and/or power pins for all chips. 2. Not turning on the power supply before checking the operation of the circuit. 3. Leaving out wires. 4. Plugging wires into the wrong holes. 5. Driving a single gate input with the outputs of two or more gates 6. Modifying the circuit with the power on.
  • 6. 6 BASIC GATES: The Digital Logic "AND" Gate A Logic AND Gate is a type of digital logic gate that has an output which is normally at logic level "0" and only goes "HIGH" to a logic level "1" when ALL of its inputs are at logic level "1". The output of a Logic AND Gate only returns "LOW" again when ANY of its inputs are at a logic level "0". The logic or Boolean expression given for a logic AND gate is that for Logical Multiplication which is denoted by a single dot or full stop symbol, (.) giving us the Boolean expression of: A.B = Q. Then we can define the operation of a 2-input logic AND gate as being: "If both A and B are true, then Q is true" 2-input AND Gate Symbol Truth Table 2-input AND Gate B A Q 0 0 0 0 1 0 1 0 0 1 1 1 Boolean Expression Q = A.B Read as A AND B gives Q Commonly available digital logic AND gate IC’s included: TTL Logic Types  74LS08 Quad 2-input  74LS11 Triple 3-input  74LS21 Dual 4-input CMOS Logic Types  CD4081 Quad 2-input  CD4073 Triple 3-input  CD4082 Dual 4-input Quad 2-Input AND Gate IC 7408
  • 7. 7 Triple 3-input AND Gate IC 7411 Dual 4-input AND Gate IC 7421 The Logic "OR" Gate
  • 8. 8 A Logic OR Gate or Inclusive-OR gate is a type of digital logic gate that has an output which is normally at logic level "0" and only goes "HIGH" to a logic level "1" when ANY of its inputs are at logic level "1". The output of a Logic OR Gate only returns "LOW" again when ALL of its inputs are at a logic level "0". The logic or Boolean expression given for a logic OR gate is that for Logical Addition which is denoted by a plus sign, (+) giving us the Boolean expression of: A+B = Q. Then we can define the operation of a 2-input logic OR gate as being: "If either A or B is true, then Q is true" 2-input OR Gate Symbol Truth Table 2-input OR Gate B A Q 0 0 0 0 1 1 1 0 1 1 1 1 Boolean Expression Q = A+B Read as A OR B gives Q Commonly available OR gate IC’s included: TTL Logic Types  74LS32 Quad 2-input CMOS Logic Types  CD4071 Quad 2-input  CD4075 Triple 3-input  CD4072 Dual 4-input Quad 2-Input OR Gate IC 7432 The Digital Logic "NOT" Gate (Digital Inverter)
  • 9. 9 The digital Logic NOT Gate is the most basic of all the logical gates and is sometimes referred to as an Inverting Buffer or simply a Digital Inverter. It is a single input device which has an output level that is normally at logic level "1" and goes "LOW" to a logic level "0" when its single input is at logic level "1", in other words it "inverts" (complements) its input signal. The output from a NOT gate only returns "HIGH" again when its input is at logic level "0" giving us the Boolean expression of: A = Q. Then we can define the operation of a single input logic NOT gate as being: "If A is NOT true, then Q is true" Symbol Truth Table Inverter or NOT Gate A Q 0 1 1 0 Boolean Expression Q = not A or A Read as inverse of A gives Q Then, with an input voltage at "A" HIGH, the output at "Q" will be LOW and an input voltage at "A" LOW the Resulting output voltage at "Q" is HIGH producing the complement of the input signal. Commonly available logic NOT gate and Inverter IC's include TTL Logic Types  74LS04 Hex Inverting NOT Gate  74LS04 Hex Inverting NOT Gate  74LS14 Hex Schmitt Inverting NOT Gate  74LS1004 Hex Inverting Drivers CMOS Logic Types  CD4009 Hex Inverting NOT Gate  CD4069 Hex Inverting NOT Gate Hex Inverter Gate IC 7404 UNIVERSAL GATES: The Logic "NAND" Gate
  • 10. 10 The Logic NAND Gate is a combination of the digital logic AND gate with that of an inverter or NOT gate connected together in series. The NAND (Not - AND) gate has an output that is normally at logic level "1" and only goes "LOW" to logic level "0" when ALL of its inputs are at logic level "1". The Logic NAND Gate is the reverse or "Complementary" form of the AND gate we have seen previously. Logic NAND Gate Equivalence The logic or Boolean expression given for a logic NAND gate is that for Logical Addition, which is the opposite to the AND gate, and which it performs on the complements of the inputs. The Boolean expression for a logic NAND gate is denoted by a single dot or full stop symbol, (.) with a line or Overline, ( ‾‾ ) over the expression to signify the NOT or logical negation of the NAND gate giving us the Boolean expression of: A.B = Q. Then we can define the operation of a 2-input logic NAND gate as being: "If either A or B are NOT true, then Q is true" Symbol Truth Table 2-input NAND Gate B A Q 0 0 1 0 1 1 1 0 1 1 1 0 Boolean Expression Q = A.B Read as A AND B gives NOT Q Commonly available logic NAND gate IC’s including: TTL Logic Types  74LS00 Quad 2-input  74LS10 Triple 3-input  74LS20 Dual 4-input  74LS30 Single 8-input CMOS Logic Types  CD4011 Quad 2-input  CD4023 Triple 3-input  CD4012 Dual 4-input Quad 2-Input NAND Gate IC 7400
  • 11. 11 Triple 3-Input NAND Gate IC 7410 Dual 4-Input NAND Gate IC 7420 The Logic "NOR" Gate The Logic NOR Gate or Inclusive-NOR gate is a combination of the digital logic OR gate with that of an inverter or NOT gate connected together in series. The NOR (Not - OR) gate has an output that is normally at logic level "1" and only goes "LOW" to logic level "0" when ANY of
  • 12. 12 its inputs are at logic level "1". The Logic NOR Gate is the reverse or "Complementary" form of the OR gate we have seen previously. NOR Gate Equivalent The logic or Boolean expression given for a logic NOR gate is that for Logical Multiplication which it performs on the complements of the inputs. The Boolean expression for a logic NOR gate is denoted by a plus sign, (+) with a line or Over line, ( ‾‾ ) over the expression to signify the NOT or logical negation of the NOR gate giving us the Boolean expression of: A+B = Q. Then we can define the operation of a 2-input logic NOR gate as being: "If both A and B are NOT true, then Q is true" Symbol Truth Table 2-input NOR Gate B A Q 0 0 1 0 1 0 1 0 0 1 1 0 Boolean Expression Q = A+B Read as A OR B gives NOT Q Commonly available NOR gate IC's include: TTL Logic Types  74LS02 Quad 2-input  74LS27 Triple 3-input  74LS260 Dual 4-input CMOS Logic Types  CD4001 Quad 2-input  CD4025 Triple 3-input  CD4002 Dual 4-input Quad 2-Input NOR Gate 7402
  • 13. 13 Triple 3-Input NOR Gate IC 7427 Dual 4-Input NOR Gate IC 7425 The Exclusive-OR Gate (Ex-OR Gate) The output of an Exclusive-OR gate ONLY goes "HIGH" when its two input terminals are at "DIFFERENT" logic levels with respect to each other and they can both be at logic level "1" or
  • 14. 14 both at logic level "0" giving us the Boolean expression of: Q = A’B + AB’. The Exclusive-OR Gate function is achieved is achieved by combining standard gates together to form more complex gate functions. An example of a 2-input Exclusive-OR gate is given below. 2-input Ex-OR Gate Symbol Truth Table 2-input Ex-OR Gate B A Q 0 0 0 0 1 1 1 0 1 1 1 0 Boolean Expression Q = A B Read as A OR B but NOT BOTH gives Q TTL Logic Types  74LS86 Quad 2-input CMOS Logic Types  CD4030 Quad 2-input Quad 2-Input Ex-OR Gate IC 7486 The Exclusive-NOR Gate The output of an Exclusive-NOR gate ONLY goes "HIGH" when its two input terminals, A and B are at the "SAME" logic level which can be either at a logic level "1" or at a logic level "0".
  • 15. 15 Then this type of gate gives and output "1" when its inputs are "logically equal" or "equivalent" to each other, which is why an Exclusive-NOR gate is sometimes called an Equivalence Gate. Ex-NOR Gate Equivalent In general, an Exclusive-NOR gate will give an output value of logic "1" ONLY when there are an EVEN number of 1's on the inputs to the gate (the inverse of the Ex-OR gate) except when all its inputs are "LOW". Commonly available Exclusive-NOR gate IC's include: TTL Logic Types 74LS266 Quad 2-input CMOS Logic Types CD4077 Quad 2-input Quad 2-Input Ex-NOR Gate IC 74266 Procedure:  Plug the IC you will be using into the breadboard. Point all the ICs in the same direction with pin 1 at the upper-left corner. (Pin 1 is often identified by a dot or a notch next to it on the chip package). Symbol Truth Table 2-input Ex-NOR Gate B A Q 0 0 1 0 1 0 1 0 0 1 1 1 Boolean Expression Q = A B Read if A AND B the SAME gives Q
  • 16. 16  Connect +5V and GND pins of each chip to the power and ground bus strips on the breadboard.  Make the connections as per the circuit diagram.  Switch on VCC and apply various combinations of input according to truth table.  Note down the output readings for different gates indicating logic value of the output. Result: Logic gates are studied and all the gates are verified. Conclusion: All the 2i/p, 3 i/p and 4 i/p logic gate are verified using their respective Digital ICs. We have verified their truth tables. Precautions: 1. All the connections should be made properly. 2. IC should not be reversed. 3. It should be care that the values of the components of the circuit is does not exceed to their ratings (maximum value). 4. Before the circuit connection it should be check out working condition of all the Component. Pre Experiment Questions: 1. Define the term digital. 2. What is meant by bit? 3. What is digital gate? 4. What is truth table? 5. When used with an IC, what do you mean by the term "QUAD" ? Post Experiment Questions: 1. If a 3-input NOR gate has eight input possibilities, how many of those possibilities will Result in a HIGH output? 2. The output of a logic gate is ‘1’ when all its input are at logic 0.The gate is either (a)NAND or an EX OR gate (b)NOR or an EX-NOR gate (c)An OR or an EX NOR gate (d)An AND or an EX-OR gate 3. Which of the logical operations is represented by the + sign in Boolean algebra?
  • 17. 17 Experiment No.2 Aim: To verify the truth table of OR, AND, NOR, Ex-OR, Ex-NOR realized using NAND & NOR gates. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7400, 7402) Theory: Logic gates like NAND and NOR are also used in the design of digital circuits. The objectives of this practical are to learn about: 1. Universal gates - NAND and NOR. 2. How to implement NOT, AND, and OR gate using NAND gates only. 3. How to implement NOT, AND, and OR gate using NOR gates only. 4. Equivalent gates. NAND Gate: The NAND gate represents the complement of the AND operation. Its name is an abbreviation of NOT AND. The graphic symbol for the NAND gate consists of an AND symbol with a bubble on the output, denoting that a complement operation is performed on the output of the AND gate. The truth table and the graphic symbol of NAND gate is shown in the figure. The truth table clearly shows that the NAND operation is the complement of the AND. NOR Gate: The NOR gate represents the complement of the OR operation. Its name is an abbreviation of NOT OR. The graphic symbol for the NOR gate consists of an OR symbol with a bubble on the output, denoting that a complement operation is performed on the output of the OR gate. The truth table and the graphic symbol of NOR gate is shown in the figure. The truth table clearly shows that the NOR operation is the complement of the OR.
  • 18. 18 Universal Gates: A universal gate is a gate which can implement any Boolean function without need to use any other gate type. The NAND and NOR gates are universal gates. In practice, this is advantageous since NAND and NOR gates are economical and easier to fabricate and are the basic gates used in all IC digital logic families. In fact, an AND gate is typically implemented as a NAND gate followed by an inverter not the other way around!! Likewise, an OR gate is typically implemented as a NOR gate followed by an inverter. NAND Gate is a Universal Gate: To prove that any Boolean function can be implemented using only NAND gates, we will show that the AND, OR, and NOT operations can be performed using only these gates. Implementing an Inverter Using only NAND Gate The figure shows two ways in which a NAND gate can be used as an inverter (NOT gate). 1. All NAND input pins connect to the input signal A gives an output A’. 2. One NAND input pin is connected to the input signal A while all other input pins are connected to logic 1. The output will be A’. Implementing AND Using only NAND Gates 3. An AND gate can be replaced by NAND gates as shown in the figure (The AND is replaced by a NAND gate with its output complemented by a NAND gate inverter). Implementing OR Using only NAND Gates 4. An OR gate can be replaced by NAND gates as shown in the figure (The OR gate is replaced by a NAND gate with all its inputs complemented by NAND gate inverters). Thus, the NAND gate is a universal gate since it can implement the AND, OR and NOT functions.
  • 19. 19 NOR Gate is a Universal Gate: To prove that any Boolean function can be implemented using only NOR gates, we will show that the AND, OR, and NOT operations can be performed using only these gates. Implementing an Inverter Using only NOR Gate The figure shows two ways in which a NOR gate can be used as an inverter (NOT gate). 1. All NOR input pins connect to the input signal A gives an output A’. 2. One NOR input pin is connected to the input signal A while all other input pins are connected to logic 0. The output will be A’. Implementing OR Using only NOR Gates An OR gate can be replaced by NOR gates as shown in the figure (The OR is replaced by a NOR gate with its output complemented by a NOR gate inverter) Implementing AND Using only NOR Gates An AND gate can be replaced by NOR gates as shown in the figure (The AND gate is replaced by a NOR gate with all its inputs complemented by NOR gate inverters) Thus, the NOR gate is a universal gate since it can implement the AND, OR and NOT functions.
  • 20. 20 Equivalent Gates The shown figure summarizes important cases of gate equivalence. Note that bubbles indicate a complement operation (inverter). A NAND gate is equivalent to an inverted-input OR gate. An AND gate is equivalent to an inverted-input NOR gate. A NOR gate is equivalent to an inverted-input AND gate. An OR gate is equivalent to an inverted-input NAND gate. Two NOT gates in series are same as a buffer because they cancel each other as A’’ = A. Implementing EX-OR Using only NAND Gates
  • 21. 21 Implementing EXNOR Using only NAND Gates Implementing EXOR Using only NOR Gates Implementing EX-NOR Using only NOR Gates Procedure: 1. Plug the ICs 7400 into the breadboard. 2. Connect +5V and GND pins of each chip to the power and ground bus strips on the breadboard.
  • 22. 22 3. Make the connections as per the circuit diagram. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Note down the output readings for different combinations of indicating logic value of the output. Where 5V indicating logic 1 and 0V indicating logic. 6. Repeat it with IC 7402. Result: Designing of basic gates by using of NAND and NOR gate is successfully done. Conclusion: An universal gate is a gate which can implement any Boolean function without need to use any other gate type. Hence all the gates are realized using Universal gates. In practice, this is advantageous since NAND and NOR gates are economical and easier to fabricate and are the basic gates used in all IC digital logic families. Pre Experiment Questions: 1. Why NAND & NOR gates are called universal gates? 2. Which gate is equal to AND-inverter Gate? 3. Which gate is equal to OR-inverter Gate? Post Experiment Questions: 1. Draw X= ab + b’a’ by NAND gate? 2. What is Demorgan’s theorem? 3. Solve following example by Demorgan’s theorem 1) (A+B+C)’ 1. 2) (ABC)’ (AB)’ 4. How do you convert an XOR into a buffer and a inverter?
  • 23. 23 Experiment No.3 Aim: To realize SOP and POS expressions. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7400, 7402, 7404, 7408, 7432) Theory: We have seen before that Boolean functions in either SOP or POS forms can be implemented using 2-Level implementations. For SOP forms AND gates will be in the first level and a single OR gate will be in the second level. For POS forms OR gates will be in the first level and a single AND gate will be in the second level. Note that using inverters to complement input variables is not counted as a level. We will show that SOP forms can be implemented using only NAND gates, while POS forms can be implemented using only NOR gates. Implement the following SOP function F = Being an SOP expression, it is implemented in 2-levels as shown in the figure. Here the expression is realized using NAND Gate.
  • 24. 24 Implement the following POS function F = Being a POS expression, it is implemented in 2-levels as shown in the figure. Introducing two successive inverters at the inputs of the AND gate Results in the shown equivalent implementation. Since two successive inverters on the same line will not have an overall effect on the logic as it is shown before. There are some other types of 2-level combinational circuits which are  NAND-AND  AND-NOR,  NOR-OR,  OR-NAND AND-NOR functions: Implement the following function F = XZ YZ XYZ or F = XZ Y Z XYZ Since F’ is in SOP form, it can be implemented by using NAND-NAND circuit. By complementing the output we can get F, or by using NAND-AND circuit as shown in the figure OR-NAND functions:
  • 25. 25 Implement the following function F = (X +Z). (Y +Z). (X +Y +Z) F = (X + Z)(Y + Z ) (X +Y+ Z) Since F’ is in POS form, it can be implemented by using NOR-NOR circuit. By complementing the output we can get F, or by using NOR-OR circuit as shown in the figure. It can also be implemented using OR-NAND circuit as it is equivalent to NOR-OR circuit as shown in the figure. Procedure: 1.Take ICs-7408,7404,and 7432 and place it on breadboard according to the circuit. 2.Give the voltage Vcc and Ground supply to each IC separately. 3.Note the output and verify the truth table for all the expressions. Precautions: 1) The continuity of the connecting terminals should be checked before going 2) It should be care that the values of the components of the circuit is does not exceed to their ratings (maximum value). Result: Hence both SOP and POS forms of expression are verified.
  • 26. 26 Conclusion: By using various logic gate ICs we can perform the SOP and POS operation of any equation. Pre Experiment Questions: 1. Express the function f(x, y, z) = 1 in the form of sum of minterms and a product of maxterms. 2. What is D’morgans theorem? 3. Solve following example by using D’morgans theorem. (ABC)’ (AB)’ Post Experiment Questions: 1. Examine this truth table and then write both SOP and POS Boolean expressions describing the Output: A B C output 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 0 2. Convert the following SOP expression to an equivalent POS expression. 3. Express the function f(x, y, z) = 1 in the sum of minterms and a product of maxterms? Minterms =(0,1,2,3,4,5,6,7), Maxterms = No maxterms. 4. Make the truth table of πM(1,2,6,7,13,14,15) + d(0,3,5)?
  • 27. 27 Experiment No: 4 Aim: To realize half adder or Subtractor & Full Adder or Subtractor using NAND & NOR gates and to verify their truth table. Apparatus/ Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7400, 7402) Theory: A very useful combinational logic circuit which can be constructed using just a few basic logic gates and adds together binary numbers is the Binary Adder circuit. The Binary Adder is made up from standard AND and Ex-OR gates and allow us to "add" together single bit binary numbers, a and b to produce two outputs, the SUM of the addition and a CARRY called the Carry-out, ( Cout ) bit. One of the main uses for the Binary Adder is in arithmetic and counting circuits. The Half Adder Circuit 1-bit Adder with Carry-Out Symbol Truth Table A B SUM CARRY 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Boolean Expression: Sum = A ⊕ B Carry = A . B From the truth table we can see that the SUM (S) output is the Result of the Ex-OR gate and the Carry-out (Cout) is the Result of the AND gate. One major disadvantage of the Half Adder circuit when used as a binary adder, is that there is no provision for a "Carry-in" from the previous circuit when adding together multiple data bits. For example, suppose we want to add together two 8-bit bytes of data, any Resulting carry bit would need to be able to "ripple" or move across the bit patterns starting from the least significant bit (LSB). Circuit diagram for half-adder using NAND gates
  • 28. 28 Circuit diagram for half-adder using NOR gates The Full Adder Circuit: The main difference between the Full Adder and the previous seen Half Adder is that a full adder has three inputs, the same two single bit binary inputs A and B as before plus an additional Carry-In (C-in) input as shown below. The 1-bit Full Adder circuit above is basically two half adders connected together and consists of three Ex-OR gates, two AND gates and an OR gate, six logic gates in total. Symbol Truth Table A B C-in Sum C-out 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 0 1 1 0 1 0 1 1 1 1 1 1 Boolean Expression: Sum = A ⊕ B ⊕ C-in Full Adder implementation using NAND Gate
  • 29. 29 Full Adder implementation using NOR Gate The Half Subtractor Circuit A half-subtractor has two inputs and two outputs. Let the input variables minuend and subtrahend be designated as A and B respectively, and output functions be designated as DIFF for difference and BORROW for borrow. The truth table of the functions is as follows. Symbol Truth Table A B DIFF BORROW 0 0 0 0 0 1 1 1 1 0 0 1 1 1 0 0 Boolean Expression: DIFF = A ⊕ B BORROW = A’. B Circuit diagram for half-Subtractor using NAND gates.
  • 30. 30 Circuit diagram for half-Subtractor using NOR gates. The Full Subtractor Circuit A combinational circuit of full-Subtractor performs the operation of subtraction of three bits— the minuend, subtrahend, and borrow generated from the subtraction operation of previous significant digits and produces the outputs difference and borrow. Let us designate the input variables minuend as A, subtrahend as B, and previous borrow as C, and outputs difference as D and B as Borrow. Eight different input combinations are possible for three input variables. Symbol Truth Table a b c D B 0 0 0 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 0 1 0 0 1 1 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 Boolean Expression: D = a ⊕ b ⊕ c; B= a’b+bc+b’c Full Subtractor implementation using NAND Gate
  • 31. 31 Full Subtractor implementation using NOR Gate Procedure: 1. Insert the IC chips into the breadboard. Point all the chips in the same direction with pin 1 at the upper-left corner. (Pin 1 is often identified by a dot or a notch next to it on the chip package). 2. Connect +5V and GND pins of each chip to the power and ground bus strips on the breadboard. 3. Make the connections as per the circuit diagram. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Note down the output readings for half/full adder and sum and the carry bit for different combinations of inputs in following Tables where S & V indicating logic value of the output and fill your Result in S (V) and C (V) in voltage. Where 5V indicating logic 1 and 0V indicating logic 0. Result:. The truth table for Half adder/Subtractor and Full Adder/Subtractor has been verified. Conclusion: By using various logic gate ICs we can perform the full or half adder and subtractor and check the truth table Pre Experiment Questions: 1. Define Combinational Circuits. 2. What is meant by Map method? 3. What is meant by two and three variable map? Post Experiment Questions: 1. Describe the difference between half-adder and full-adder. 2. Realize half -subtractor and full-subtractor using NOR gates. 3. Realize a full adder using two half adders. 4. Realize a full subtractors using two half subtractors.
  • 32. 32 Experiment No: 5 Aim: To realize a 4-bit ripple adder/Subtractor using basic full adder/ Subtractor. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7483, 7486, 7404, 7408, and 7432) Theory: In digital circuits, an adder–Subtractor is a circuit that is capable of adding or subtracting numbers (in particular, binary). Adder-subtractor is a circuit that does adding or subtracting depending on a control signal. It is also possible to construct a circuit that performs both addition and subtraction at the same time. 4 Bit Binary Adder A binary adder is a digital circuit that produces the arithmetic sum of two binary numbers. It can be constructed with full adders connected in cascade, with the output carry from each full adder connected to the input carry of next full adder in chain. The augends bits of ‘A’ and the addend bits of ‘B’ are designated by subscript numbers from right to left, with subscript 0 denoting the least significant bits. The carries are connected in chain through the full adder. The input carry to the adder is C0 and it ripples through the full adder to the output carry C4. 4 Bit Binary Subtractor The circuit for subtracting A-B consists of an adder with inverters, placed between each data input ‘B’ and the corresponding input of full adder. The input carry C0 must be equal to 1 when performing subtraction. 4 Bit Binary Adder/Subtractor The addition and subtraction operation can be combined into one circuit with one common binary adder. The mode input M controls the operation. When M=0, the circuit is adder circuit. When M=1, it becomes Subtractor. Pin Diagram For IC 7483 LOGIC DIAGRAM:
  • 33. 33 Logic Diagram for 4-Bit Binary Adder Logic Diagram for 4-Bit Binary Subtractor: Logic Diagram for 4-Bit Binary Adder/Subtractor:
  • 34. 34 Procedure: 1. Test the digital ICs with the help of IC tester. 2. Make the connections as per the circuit diagram. 3. Connect the output pin on LED through Resistor. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Observe the logical output and verify with the truth tables. Result: Thus the 4-bit adder and subtractor using IC 7483 was designed and implemented. Conclusion: For various combinations of selected input lines, observed the LED output and verified the truth table. Precautions: 1. All ICs should be checked before starting the experiment. 2. All the connection should be tight. 3. Always connect ground first and then connect Vcc. Pre Experiment Questions: 1. What is BCD adder?
  • 35. 35 2. What is the difference between adder and parallel adder? Post Experiment Questions: 1. How many full adders are required to construct an m-bit parallel adder? 2. What is propagation delay? 3. Explain the carry propagation delay in 4 bit parallel adder.
  • 36. 36 Experiment No: 6 Aim: To verify the truth table of 4-to-1 multiplexer and 1-to-4 demultiplexer. Realize the multiplexer using basic gates only. Also to construct an 8-to-1 multiplexer and 1-to-8 demultiplexer using blocks of 4-to-1 multiplexer and 1-to-4 demultiplexer. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (74153, 74155, 7408, 7432, 7404, 7411) Theory: MULTIPLEXER: Combinational logic switching devices that operate like a very fast acting multiple position rotary A data selector, more commonly called a Multiplexer, shortened to "Mux" or "MPX", are switch. They connect or control, multiple input lines called "channels" consisting of 2, 4, 8 or 16 individual inputs, one at a time to an output. Then the job of a multiplexer is to allow multiple signals to share a single common output. Multiplexer means transmitting a large number of information units over a smaller number of channels or lines. A digital multiplexer is a combinational circuit that selects binary information from one of many input lines and directs it to a single output line. The selection of a particular input line is controlled by a set of selection lines. Normally there are 2n input line and n selection lines whose bit combination determine which input is selected. DEMULTIPLEXER: The function of De-multiplexer is in contrast to multiplexer function. It takes information from one line and distributes it to a given number of output lines. For this reason, the de-multiplexer is also known as a data distributor. Decoder can also be used as de-multiplexer. In the 1: 4 de- multiplexer circuit, the data input line goes to all of the AND gates. The data select lines enable only one gate at a time and the data on the data input line will pass through the selected gate to the associated data output line. BLOCK DIAGRAM FOR 4:1 MULTIPLEXER:
  • 37. 37 CIRCUIT DIAGRAM FOR MULTIPLEXER: TRUTH TABLE: S1 S0 Y = OUTPUT 0 0 D0 0 1 D1 1 0 D2 1 1 D3 BLOCK DIAGRAM FOR 1:4 DEMULTIPLEXER:
  • 38. 38 FUNCTION TABLE: S1 S0 INPUT 0 0 X → D0 = X S1’ S0’ 0 1 X → D1 = X S1’ S0 1 0 X → D2 = X S1 S0’ 1 1 X → D3 = X S1 S0 Y = X S1’ S0’ + X S1’ S0 + X S1 S0’ + X S1 S0 LOGIC DIAGRAM FOR DEMULTIPLEXER: TRUTH TABLE: INPUT OUTPUT S1 S0 I/P D0 D1 D2 D3
  • 39. 39 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 0 0 0 1 1 0 1 0 0 1 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 0 0 0 0 0 1 1 1 0 0 0 1 PIN DIAGRAM FOR IC 74153 TRUTH TABLE OF 4:1 MUX(IC 74153) (CHANNEL A) WITH ACTIVE LOW MODE: Inputs(Channel A) Select lines Output Ea Iao Ia1 Ia2 Ia3 S0 S1 Za 1 × × × × × × 0 0 0 × × × 0 0 0 0 1 × × × 0 0 1 0 × 0 × × 0 1 0 0 × 1 × × 0 1 1 0 × × 0 × 1 0 0 0 × × 1 × 1 0 1 0 × × × 0 1 1 0 0 × × × 1 1 1 1 PIN DIAGRAM OF DUAL 1:4 DEMUX (IC74155)
  • 40. 40 TRUTH TABLE OF 1:4 DEMUX(IC 74155) WITH ACTIVE LOW MODE: Input(Channel A) Output Ea S0 S1 D0 D1 D2 D3 1 × × 1 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 1 1 0 1 0 1 1 1 1 1 0 IMPLEMENTATION OF 8:1 MULTIPLEXER USING 4:1 MUX: CIRCUIT OF 8:1 MUX USING DUAL 4:1 MUX
  • 41. 41 TRUTH TABLE OF 8:1 MUX Truth table of 8:1 MUX IMPLEMENTATION OF 1:8 MULTIPLEXER USING 1:4 MUX:
  • 42. 42 CIRCUIT OF 1:8 DMUX USING DUAL 1:4 DMUX TRUTH TABLE OF 1:8 DEMUX Procedure: 1. Test the digital ICs with the help of IC tester. 2. Make the connections as per the circuit diagram.
  • 43. 43 3. Connect the output pin on LED through Resistor. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Observe the logical output and verify with the truth tables. Result: Hence the 4:1mux and 1:4 de-mux are designed with basic gate as given in the figure and verified with the truth table. Design of 1:8 de-mux and 8:1mux are designed with 1:4 de- mux and 4:1mux as given in circuit. Conclusion: The multiplexer and demultiplexer was also designed USING IC 74153 , IC 74138,IC 74155 and verified the truth table. Precautions: 1. All ICs should be checked before starting the experiment. 2. All the connection should be tight. 3. Always connect ground first and then connect Vcc. Pre Experiment Questions: 1. What is the function of the enable input in a Multiplexer? 2. What is the difference between multiplexer and decoder? 3. How many select lines will a 32:1 multiplexer will have Post Experiment Questions: 1. Give the applications of Demultiplexer. 2. List out the applications of multiplexer? 3. Realize the following Boolean expression using 4:1 MUXs only .
  • 44. 44 Experiment No: 7 Aim : Design and realize a combinational circuit that will accept the 2421 BCD code and drive a TIL-312 to 7-Segment Display Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7447), register (200 Ω / 330 Ω), seven segment display Theory: The Binary Coded Decimal (BCD) to 7-Segment Display Decoder. 7-segment LED (Light Emitting Diode) or LCD (Liquid Crystal) displays, provide a very convenient way of displaying information or digital data in the form of numbers, letters or even alpha-numerical characters and they consist of 7 individual LED's (the segments), within from 0 to 9 and A to F respectively, on the display the correct combination of LED segments need to be illuminated and BCD to 7- segment Display Decoders such as the 74LS47 do just that. A standard 7-segment LED display generally has 8 input connections, one for each LED segment and one that acts as a common terminal or connection for all the internal segments. There are two important types of 7-segment LED digital display.  The Common Cathode Display (CCD) - In the common cathode display, all the cathode connections of the LED's are joined together to logic "0" and the individual segments are illuminated by application of a "HIGH", logic "1" signal to the individual Anode terminals.  The Common Anode Display (CAD) - In the common anode display, all the anode connections of the LED's are joined together to logic "1" and the individual segments are illuminated by connecting the individual Cathode terminals to a "LOW", logic "0" signal. 7-Segment Display Format
  • 45. 45 7-Segment Display Elements for all Numbers. It can be seen that to display any single digit number from 0 to 9 or letter from A to F, we would need 7 separate segment connections plus one additional connection for the LED's "common" connection. Also as the segments are basically a standard light emitting diode, the driving circuit would need to produce up to 20mA of current to illuminate each individual segment and to display the number 8, all 7 segments would need to be lit resulting a total current of nearly 140mA, (8 x 20mA). Obviously, the use of so many connections and power consumption is impractical for some electronic or microprocessor based circuits and so in order to reduce the number of signal lines required to drive just one single display, display decoders such as the BCD to 7-Segment Display Decoder and Driver IC's are used instead. 2421 CODES: The 2421 code utilizes weighted binary codes with 4 bits.Weighted binary codes are of the form: A3B3 + A2B2 + A1B1 + A0B0 The terms in ‘B’ are referred to as Code Weights and those in ‘A’ are the binary codes. Note that since 2 + 4 + 2 + 1 = 9, only the number set 0 – 9 can be represented in each 4 bits.The conversion from 2421 code can also be done by: 2B3 + 4B2 + 2B1 + 1B0 = Base 10 equivalent ,the co-efficient 2,4,2,1 are called the code weights. Using 4 bits and binary representations, there are 16 possible combinations. However, the 2421 system only utilizes 10 distinct combinations, leaving 6 illegal combinations. This property allows for error detection in a system. The 2421 code is self-complementing, meaning that the code word for the 9’s complement of any digit may be obtained by complementing the individual bits of the 2421 code word. Binary Coded Decimal Binary Coded Decimal (BCD or "8421" BCD) numbers are made up using just 4 data bits (a nibble or half a byte) BCD numbers only range from 0 to 9, with the binary number patterns of 1010 through to 1111 (A to F) being invalid inputs for this type of display and so are not used as shown below.
  • 46. 46 Design a combinational circuit for converting 2421 code to BCD code. Both the 2421 code and BCD code are 4-bit codes and represent the decimal equivalents 0 to 9. To design the converter circuit for the above, first the truth table is prepared with the input variables W, X, Y, and Z of 2421 code, and the output variables A, B, C, and D. Karnaugh maps to obtain the simplified expressions of the output functions are shown in Figure. Unused combinations are considered as don’t-care condition. Decimal Equivalent 2421 INPUT BCD OUTPUT W X Y Z A B C D 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 2 0 0 1 0 0 0 1 0 3 0 0 1 1 0 0 1 1 4 0 1 0 0 0 1 0 0 5 1 0 1 1 0 1 0 1 6 1 1 0 0 0 1 1 0 7 1 1 0 1 0 1 1 1 8 1 1 1 0 1 0 0 0 9 1 1 1 1 1 0 0 1 K map: Y′Z′ Y′Z YZ YZ′ W′X′
  • 47. 47 Y′Z′ Y′Z YZ YZ′ W′X′ W′X X X X WX 1 1 WX′ X X X (a) Karnaugh map for A. (b) Karnaugh map for B. Y′Z′ Y′Z YZ YZ′ W′X′ 1 1 W′X X X X WX 1 1 WX′ X X X (c) Karnaugh map for C. (d) Karnaugh map for D. Logic Diagram for 2421 to BCD code conversion BCD to 7-Segment Display Decoders W′X 1 X X X WX 1 1 WX′ X X 1 X Y′Z′ Y′Z YZ YZ′ W′X′ 1 1 W′X X X X WX 1 1 WX′ X X 1 X
  • 48. 48 A binary coded decimal (BCD) to 7-segment display decoder such as the TTL 74LS47 or 74LS48, have 4 BCD inputs and 7 output lines, one for each LED segment. This allows a smaller 4-bit binary number (half a byte) to be used to display all the denary numbers from 0 to 9 and by adding two displays together, a full range of numbers from 00 to 99 can be displayed with just a single byte of 8 data bits. The use of packed BCD allows two BCD digits to be stored within a single byte (8-bits) of data, allowing a single data byte to hold a BCD number in the range of 00 to 99. PIN diagram for 7447 IC:
  • 49. 49 Result: Hence the BCD to seven segment display is designed with BCD to seven segment display IC as given in the figure and verified with the truth table. Conclusion: Thus we had concluded that 7 segment display can be implemented using IC,s and we had seen different numbers 0-9. Precautions: • All connections should be made neat and tight. • Digital lab kits and ICs should be handled with utmost care. • While making connections main voltage should be kept switched off. • Never touch live and naked wires. Pre Experiment Questions: 1. What is code conversion? 2. Can a decoder function as a Demultiplexer? Post Experiment Questions: 1. Give the applications of seven segment display?
  • 50. 50 Experiment No: 8 Aim: Using basic logic gates realize the R-S, J-K and D flip flops with and without clock pulse and verify truth table. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs (7400, 7402, 7404, 7408, 7432) Theory: Sequential Logic circuits have some form of inherent "Memory" built in to them as they are able to take into account their previous input state as well as those actually present, a sort of "before" and "after" is involved with sequential circuits. In other words, the output state of a sequential logic circuit is a function of the following three states, the "present input", the "past input" and/or the "past output". Sequential Logic circuits remember these conditions and stay fixed in their current state until the next clock signal changes one of the states, giving sequential logic circuits "Memory". Sequential logic circuits are generally termed as two state or Bistable devices which can have their output or outputs set in one of two basic states, a logic level "1" or a logic level "0" and will remain "latched" (hence the name latch) indefinitely in this current state or condition until some other input trigger pulse or signal is applied which will cause the bistable to change its state once again. Sequential Logic Representation The word "Sequential" means that things happen in a "sequence", one after another and in Sequential Logic circuits, the actual clock signal determines when things will happen next. Simple sequential logic circuits can be constructed from standard Bistable circuits such as Flip-
  • 51. 51 flops, Latches and Counters and which themselves can be made by simply connecting together universal NAND Gates and/or NOR Gates in a particular combinational way to produce the required sequential circuit. FLIP-FLOP:- "Flip-flop" is the common name given to two-state devices which offer basic memory for sequential logic operations. Flip-flops are heavily used for digital data storage and transfer and are commonly used in banks called "register" for the storage of binary numerical data. The basic Flip Flop or S-R Flip Flop The SET-RESET flip flop is designed with the help of two NOR gates and also two NAND gates. These flip flops are also called S-R Latch.  S-R Flip Flop using NOR Gate The design of such a flip flop includes two inputs, called the SET [S] and RESET [R]. There are also two outputs, Q and Q’. The diagram and truth table is shown below. From the diagram it is evident that the flip flop has mainly four states. They are S=1, R=0—Q=1, Q’=0 This state is also called the SET state. S=0, R=1—Q=0, Q’=1 This state is known as the RESET state. In both the states you can see that the outputs are just compliments of each other and that the value of Q follows the value of S. S=0, R=0—Q & Q’ = Remember If both the values of S and R are switched to 0, then the circuit remembers the value of S and R in their previous state. S=1, R=1—Q=0, Q’=0 [Invalid]
  • 52. 52 This is an invalid state because the values of both Q and Q’ are 0. They are supposed to be compliments of each other. Normally, this state must be avoided.  S-R Flip Flop using NAND Gate The circuit of the S-R flip flop using NAND Gate and its truth table is shown below. Like the NOR Gate S-R flip flop, this one also has four states. They are S=1, R=0—Q=0, Q’=1 This state is also called the SET state. S=0, R=1—Q=1, Q’=0 This state is known as the RESET state. In both the states you can see that the outputs are just compliments of each other and that the value of Q follows the compliment value of S. S=0, R=0—Q=1, & Q’ =1 [Invalid] If both the values of S and R are switched to 0 it is an invalid state because the values of both Q and Q’ are 1. They are supposed to be compliments of each other. Normally, this state must be avoided. S=1, R=1—Q & Q’= Remember If both the values of S and R are switched to 1, then the circuit remembers the value of S and R in their previous state.  Clocked S-R Flip Flop It is also called a Gated S-R flip flop.
  • 53. 53 The problems with S-R flip flops using NOR and NAND gate is the invalid state. This problem can be overcome by using a bistable SR flip-flop that can change outputs when certain invalid states are met, regardless of the condition of either the Set or the Reset inputs. For this, a clocked S-R flip flop is designed by adding two AND gates to a basic NOR Gate flip flop. The circuit diagram and truth table is shown below. A clock pulse [CP] is given to the inputs of the AND Gate. When the value of the clock pulse is ’0′, the outputs of both the AND Gates remain ’0′. As soon as a pulse is given the value of CP turns ’1′. This makes the values at S and R to pass through the NOR Gate flip flop. But when the values of both S and R values turn ’1′, the HIGH value of CP causes both of them to turn to ’0′ for a short moment. As soon as the pulse is removed, the flip flop state becomes intermediate. Thus either of the two states may be caused, and it depends on whether the set or reset input of the flip-flop remains a ’1′ longer than the transition to ’0′ at the end of the pulse. Thus the invalid states can be eliminated. D Flip Flop D flip flop is actually a slight modification of the above explained clocked SR flip-flop. From the figure you can see that the D input is connected to the S input and the complement of the D input is connected to the R input. The D input is passed on to the flip flop when the value of CP is ’1′. When CP is HIGH, the flip flop moves to the SET state. If it is ’0′, the flip flop switches to the CLEAR state.  D Flip Flop without clock The circuit diagram of D latch with NAND gates:
  • 54. 54  Clocked D Flip Flop J-K Flip Flop A J-K flip flop can also be defined as a modification of the S-R flip flop. The only difference is that the intermediate state is more refined and precise than that of an S-R flip flop.
  • 55. 55 The behavior of inputs J and K is same as the S and R inputs of the S-R flip flop. The letter J stands for SET and the letter K stands for CLEAR.  JK Flip Flop without clock When both the inputs J and K have a HIGH state, the flip-flop switches to the complement state. So, for a value of Q = 1, it switches to Q=0 and for a value of Q = 0, it switches to Q=1. The circuit diagram and truth-table of a J-K flip flop is shown below. The circuit includes two 3-input AND gates. The output Q of the flip flop is returned back as a feedback to the input of the AND along with other inputs like K and clock pulse [CP]. So, if the value of CP is ’1′, the flip flop gets a CLEAR signal and with the condition that the value of Q was earlier 1. Similarly output Q’ of the flip flop is given as a feedback to the input of the AND along with other inputs like J and clock pulse [CP]. So the output becomes SET when the value of CP is 1 only if the value of Q’ was earlier 1.
  • 56. 56 The output may be repeated in transitions once they have been complimented for J=K=1 because of the feedback connection in the JK flip-flop. This can be avoided by setting a time duration lesser than the propagation delay through the flip-flop. The restriction on the pulse width can be eliminated with a master-slave or edge-triggered construction. Procedure: 1. Test the digital ICs with the help of IC tester. 2. Make the connections as per the circuit diagram. 3. Connect the output pin on LED through Resistor. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Observe the logical output and verify with the truth tables. Result: Hence the flip flops are designed with basic gate IC and verified with the truth table. Conclusion: Also we have verified the truth table for JK and D flip flop using ICs 7476 for JK and 7474 for D flip flops. Precautions: All the connections should be made properly. Pre Experiment Questions: 1.What is sequential circuit? 2.What is Synchronous sequential circuit? 3.What is an excitation table? 4.What do you mean by triggering of flip-flop. 5.What is called latch? Post Experiment Questions: 1.What advantage does a J-K Flip-flop have over an S-R? 2.What is meant by Race around condition?
  • 57. 57 Experiment No: 9 Aim: Construct a divide by 2, 4 & 8 asynchronous counter. Construct a 4-bit binary counter and ring counter for a particular output pattern using D flip flop. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital IC 7474 Theory: A counter is a register capable of counting number of clock pulse arriving at its clock input. Counter represents the number of clock pulses arrived. An up/down counter is one that is capable of progressing in increasing order or decreasing order through a certain sequence. An up/down counter is also called bidirectional counter. Usually up/down operation of the counter is controlled by up/down signal. Asynchronous or ripple counters are arranged in such a way that the output of one flip flop changes the state of the next. In a long chain of ripple counter stages, the last flip flop changes its state considerably later than the first FF due to propagation delays in each stage. If the output lines are connected to logic which can respond to these in-between states, glitches can occur that are so fast the error may be difficult to track down. The 2-bit ripple counter circuit has four different states, each one corresponding to a count value. Similarly, a counter with n flip-flops can have 2 to the power n states. The number of states in a counter is known as its mod (modulo) number. Thus a 2-bit counter is a mod-4 counter. A mod-n counter may also describe as a divide-by-n counter. This is because the most significant flip-flop (the furthest flip-flop from the original clock pulse) produces one pulse for every n pulses at the clock input of the least significant flip-flop (the one triggers by the clock pulse). Thus, the above counter is an example of a divide-by-4 counter. Divide by Two Counter The edge-triggered D-type ip-ops which we introduced in the previous Section are quite useful and versatile building blocks of sequential logic. A simple application is the divide-by-2counter shown in Fig. 1, along with the corresponding timing diagram.
  • 58. 58 Divide by four Counter Since the Q output of the first flip flop changes every time the clock input goes low, it effectively divides the input frequency by 2. The other output of the flip flop becomes the clock input to the next stage, so the output of the second flip flop divides the original input frequency by 4. Hence the term "divide-by-4" or "modulo-4". Divide by Eight Counter We can chain as many ripple counters together as we like. A three bit ripple counter will count 23 =8 numbers, and an n-bit ripple counter will count 2n numbers. The problem with ripple counters is that each new stage put on the counter adds a delay. This propagation delay is seen when we look at a less idealized timing diagram:
  • 59. 59 Binary 4 bit UP-COUNTER Ring Counter
  • 60. 60 A ring counter is a Shift Register (a cascade connection of flip-flops) with the output of the last flip flop connected to the input of the first. It is initialized such that only one of the flip flop output is 1 while the remainder is 0. The 1 bit is circulated so the state repeats every n clock cycles if n flip-flops are used. The "MOD" or "MODULUS" of a counter is the number of unique states. The MOD of the n flip flop ring counter is n. The following is a 4-bit ring counter constructed from D flip-flops. The output of each stage is shifted into the next stage on the positive edge of a clock pulse. If the CLEAR signal is high, all the flip-flops except the first one FF0 are reset to 0. FF0 is preset to 1 instead. Since the count sequence has 4 distinct states, the counter can be considered as a mod-4 counter. Only 4 of the maximum 16 states are used, making ring counters very inefficient in terms of state usage. But the major advantage of a ring counter over a binary counter is that it is self- decoding. No extra decoding circuit is needed to determine what state the counter is in. IC used for realizing above counters:
  • 61. 61 Procedure: 1. Test the digital ICs with the help of IC tester. 2. Make the connections as per the circuit diagram. 3. Connect the output pin on LED through Resistor. 4. Switch on VCC and apply various combinations of input according to truth table. 5. Observe the logical output and verify with the truth tables. Result: counters are designed with the help of trainer kit and verified with the truth table. Conclusion: Thus the 4 bit ripple counter mod 10/ mod 12 ripple counters was implemented and the truth table was verified. Precautions: 1. All IC s should be check ed before starting the experiment . 2. All the connection should be tight. 3. Always connect ground first and then connect Vcc . 4. Suitable typ e wi re should be used for different types of circuit. 5. The kit should be off before change the connections . 6. After completed the experiments switch off the supply of the apparatus. Pre Experiment Questions: 1. What is the difference between synchronous and asynchronous counter? 2. What is up and down counter?
  • 62. 62 Post Experiment Questions: 1. How many flip flops are required for designing the decade counter. 2. How the synchronous counters eliminate the delay problems encountered in asynchronous counters. 3. Design Mod 5 counter in synchronous mode.
  • 63. 63 Experiment No: 10 Aim: Perform input/output operations on parallel in/parallel out and serial in/ serial out register using clock. Apparatus / Component Required: IC tester, Digital trainer kit, connecting wires, Digital ICs 7474 Theory: A flip-flop stores 1-bit of digital information. It is also referred to as 1-bit register. An array of flip-flops is required to store the no. of bits. This is called register. The data can be entered into or retrieved from the register. A register is capable of shifting its binary information in one or both directions is known as shift register. The logical configuration of shift register consist of a D-Flip flop cascaded with output of one flip flop connected to input of next flip flop. All flip flops receive common clock pulses which causes the shift in the output of the flip flop. The simplest possible shift register is one that uses only flip flop. Depending on the way how the data can be entered or retrieved there are four possible modes of operation. 1) Serial in serial out (SISO) 2) Serial in parallel out (SIPO) 3) Parallel in serial out (PISO) 4) Parallel in parallel out (PIPO) Serial in serial out (SISO) A Serial-in Serial-out shift register can be implemented using D-type flip-flops joined together, the output of one flip-flop used as the input to the next flip-flop. The circuit for a 4-bit Serial-in Serial-out shift register is shown below.
  • 64. 64 The operation of the serial-in Serial-out shift register can be easily explained. Consider the circuit shown. On each clock edge (rising in this case) we can say the following about the outputs of each stage of the register (i.e. each D-type flip-flop in the register): Waveforms Serial in parallel out (SIPO)  In such types of operations, the data is entered serially and taken out in parallel fashion.
  • 65. 65  Data is loaded bit by bit. The outputs are disabled as long as the data is loading.  As soon as the data loading gets completed, all the flip-flops contain their required data, the outputs are enabled so that all the loaded data is made available over all the output lines at the same time.  4 clock cycles are required to load a four bit word. Hence the speed of operation of SIPO mode is same as that of SISO mode. Truth Table Clock Pulse No QA QB QC QD 0 0 0 0 0 1 1 0 0 0 2 0 1 0 0 3 0 0 1 0 4 0 0 0 1 5 0 0 0 0 Parallel-in to Serial-out (PISO) The Parallel-in to Serial-out shift register acts in the opposite way to the serial-in to parallel-out one above. The data is loaded into the register in a parallel format i.e. all the data bits enter their
  • 66. 66 inputs simultaneously, to the parallel input pins PA to PD of the register. The data is then read out sequentially in the normal shift-right mode from the register at Q representing the data present at PA to PD. This data is outputted one bit at a time on each clock cycle in a serial format. It is important to note that with this system a clock pulse is not required to parallel load the register as it is already present, but four clock pulses are required to unload the data. Truth Table CLK Q3 Q2 Q1 Q0 O/P 0 1 0 0 1 1 1 0 0 0 0 0 2 0 0 0 0 0 3 0 0 0 0 1 As this type of shift register converts parallel data, such as an 8-bit data word into serial format, it can be used to multiplex many different input lines into a single serial DATA stream which can be sent directly to a computer or transmitted over a communications line. Parallel-in to Parallel-out (PIPO) The final mode of operation is the Parallel-in to Parallel-out Shift Register. This type of register also acts as a temporary storage device or as a time delay device similar to the SISO configuration above. The data is presented in a parallel format to the parallel input pins PA to PD and then transferred together directly to their respective output pins QA to QA by the same clock pulse. Then one clock pulse loads and unloads the register. This arrangement for parallel loading and unloading is shown below.
  • 67. 67 TRUTH TABLE: CLK DATA INPUT OUTPUT DA DB DC DD QA QB QC QD 1 1 0 0 1 1 0 0 1 2 1 0 1 0 1 0 1 0 Pin Diagram for IC 7495:
  • 68. 68
  • 70. 70 Serial In Parallel Out(SIPO): 1. Connections are made as per circuit diagram. 2. Apply the data at serial i/p 3. Apply one clock pulse at clock 1 (Right Shift) observe this data at QA. 4. Apply the next data at serial i/p. 5. Apply one clock pulse at clock 2, observe that the data on QA will shift to QB and the new data applied will appear at QA. 6. Repeat steps 2 and 3 till all the 4 bits data are entered one by one into the shift register. Serial In Serial Out (SISO): 1. Connections are made as per circuit diagram. 2. Load the shift register with 4 bits of data one by one serially. 3. At the end of 4th clock pulse the first data ‘d0’ appears at QD. 4. Apply another clock pulse; the second data ‘d1’ appears at QD. 5. Apply another clock pulse; the third data appears at QD. 6. Application of next clock pulse will enable the 4th data ‘d3’ to appear at QD. Thus the data applied serially at the input comes out serially at QD Parallel In Serial Out (PISO): 1. Connections are made as per circuit diagram. 2. Apply the desired 4 bit data at A, B, C and D. 3. Keeping the mode control M=1 apply one clock pulse. The data applied at A, B, C and D will appear at QA, QB, QC and QD respectively. 4. Now mode control M=0. Apply clock pulses one by one and observe the Data coming out serially at QD Parallel In Parallel Out (PIPO): 1. Connections are made as per circuit diagram. 2. Apply the 4 bit data at A, B, C and D. 3. Apply one clock pulse at Clock 2 (Note: Mode control M=1). 4. The 4 bit data at A, B, C and D appears at QA, QB, QC and QD respectively. Result: Thus the Serial in serial out, Serial in parallel out, Parallel in serial out and Parallel in parallel out shift registers were implemented using IC 7495.
  • 71. 71 Conclusion: shift registers using IC 7495 in all its modes i.e.SIPO/SISO, PISO/PIPO are verified and outputs are successfully observed on CRO. Precautions: 1. All the connections should be made properly. 2. IC should not be reversed. Pre Experiment Questions: 1. What is bi-directional shift register and unidirectional shift register? 2. Write the uses of a shift register? Post Experiment Questions: 1. Shifting a register content to left by one bit position is equivalent to (A) division by two. (B) addition by two. (B) multiplication by two. (D) subtraction by two. 2. Can a shift register be used as a counter? If yes, explain how? .