SlideShare a Scribd company logo
1 of 16
By

Komal Yamgar

1
AGEND
A

SLIDE NO

•

INTRODUCTION

3
3

•

GENERAL CONCEPT

4
4

•

ARM CORTEX A15

5
5

•

FEATURES

6
6

•

APPLICATION

12
12

•

SUMMARY

14
14

•

REFERENCES

15
15
2
ARM CORTEX A15 is in production late 2011, to market late
2012.
Designed by ARM.
The Cortex-A15 MPCore processor is the latest member of the
Cortex-A series of processors.
The ARM Cortex -A15 MP Core processor is the highestperformance licensable processor the industry has ever seen.
It delivers unprecedented processing capability, combined with
low power consumption.
3
4
ARM CORTEX A 15
ARM Cortex-A15 Core
Produced

In production late 2011, to market late 2012

Designed by

ARM

Max. CPU clock rate

1.0 GHz to 2.5 GHz

Min. feature size

32 nm/28 nm initially to 20 nm roadmap

Instruction set

ARMv7

Cores

1-4 per cluster, 1-2 clusters per physical chip

L1 cache

64 kB (32 kB I-Cache, 32 kB D-Cache) per core

L2 cache

up to 4 MB

L3 cache

None
5
Key features of the Cortex-A15
core
•NEON
•SIMD.
•VFPv4 Floating Point Unit.
•Thumb-2 instruction set encoding reduces the size of
programs with little impact on performance.
•TrustZone security.
•Jazelle .

6
Single Instruction Multiple Data (SIMD)
•

Some modern software, particularly media codecs and graphics
accelerators, operate on large amounts of data that is less than wordsized.

•

Generally audio applications uses 16-bit data , graphics and video uses 8bit data .

•

When performing these operations on a 32-bit microprocessor, parts of
the computation units are unused, but continue to consume power.

•

uses a single instruction to perform the same operation in parallel on
multiple data elements of the same type and size.

•

This way, the hardware that normally adds two 32-bit values instead
performs four parallel additions of 8-bit values in the same amount of
time.
EXAMPLE

Instruction UADD8 R0, R1, R2.
This operation performs a parallel addition of four lanes of 8-bit elements packed
into vectors stored in general purpose registers R1 and R2, and places the result
into a vector in register R0.

4-way 8-bit unsigned integer add operation
NEON
NEON technology is implemented on all current ARM Cortex-A
series processors.
NEON instructions are executed as part of the ARM or Thumb
instruction stream.
This simplifies software development, debugging, and integration
compared to using an external accelerator.
Traditional ARM or Thumb instructions manage all program flow and
synchronization.
The NEON instructions perform:
• memory accesses
• data copying between NEON and general purpose registers
• data type conversion
• data processing.
9
EXAMPLE
INSTRUCTION :-

VADD.I16 Q0, Q1, Q2

VADD.I16 Q0, Q1, Q2 instruction performs a parallel addition of eight
lanes of 16-bit elements from vectors in Q1 and Q2, storing the result in Q0.
The NEON instructions support 8-bit, 16-bit, 32-bit, and 64-bit signed and
unsigned integers.

8-way 16-bit integer add operation.

10
TrustZone security
It enbles system-wide security by integrating protective measures into
the ARM processor and system peripheral IP.
This ensure that the sensitive data remains safe.
ARM Jazelle Technology

Jazelle technology accelerates mobile phone Java applications and increases
battery life.
NewJazelle technology to dramatically reduce application memory footprint
Increases performance and power saving in a wide range of applications
including
• Mobile phones
• Consumer devices.
11
APPLICATIONS
Smartphone and Computing
Usage range:
•1 GHz – 1.5 GHz single or dual-core configurations
Device characteristics:
•Elastic performance:
o
Instant web-browsing, high-bandwidth operation
o
Increasing media and floating-point performance
•Optimum power:
o
Extended low-power range and better battery life
•Richer experience:
o
Console-quality gaming, navigation applications

Digital Home Entertainment
Usage range:
•1 GHz – 2 GHz dual-core or quad-core configurations
Device characteristics:
• High-end performance:
o
General-purpose and media performance .
o
Intensive streaming,
o
Media and graphics and compute workloads.
•Larger physical memory:
o
Larger than 4GB of memory attached
12
Samsung outs a dual-core ARM Cortex A15 chip with
2560x1600 display support

The block diagram for Samsung's new Exynos 5 Dual SoC

13
SUMMARY
The Cortex-A15 extends the application processor family with Dramatic
increase in single-thread and overall performance.Compelling new features,
functionality enable exciting OEM products Scalability for large-scale computing
and system-on-chip integration Cortex-A15 has strong momentum in mobile
market.
ARM Cortex-A family provides broadest range of processors .utra-low cost
smartphonesthrough to tablets and beyondFull upward software and feature-set
compatibility Address cloud computing challenges from end to end.

14
REFERENCES
RESEARCH PAPERS
[1] Cortex™-A15 Revision: r2p0 Technical Reference Manual.
[2] W H I T E P A P E R Brian Carlson OMAP 5 Product Line Manager
Member of Group Technical Staff (MGTS) Wireless business unit. © 2011
Texas Instruments Incorporated.
[3] W H I T E P A P E R ‘ARM NEON support in the ARM’
REFERENCE BOOKS
[4] Embedded Real Time System: Concepts , Design & Programming,
Dr.K.VK.K.Prasad,Dreamtech Publication.
WEBSITE
[5] WWW.ARM.COM
[6]www.google.com
[7] www.wikipedia.com
[8] New Samsung Cortex A15-based chip opens door to “Retina” Android
tablets _ Ars Technica.htm
15
16

16

More Related Content

What's hot

What's hot (20)

ARM Microcontrollers and Embedded Systems-Module 1_VTU
ARM Microcontrollers and Embedded Systems-Module 1_VTUARM Microcontrollers and Embedded Systems-Module 1_VTU
ARM Microcontrollers and Embedded Systems-Module 1_VTU
 
Q4.11: ARM Architecture
Q4.11: ARM ArchitectureQ4.11: ARM Architecture
Q4.11: ARM Architecture
 
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC 02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
02 : ARM Cortex M4 Specs || IEEE SSCS AlexSC
 
SAI Design and Verification Specs
SAI Design and Verification SpecsSAI Design and Verification Specs
SAI Design and Verification Specs
 
Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101Study on 32-bit Cortex - M3 Powered MCU: STM32F101
Study on 32-bit Cortex - M3 Powered MCU: STM32F101
 
I2C-Bus Design and Verification Specs
I2C-Bus Design and Verification SpecsI2C-Bus Design and Verification Specs
I2C-Bus Design and Verification Specs
 
Zynq architecture
Zynq architectureZynq architecture
Zynq architecture
 
Comparison between RISC architectures: MIPS, ARM and SPARC
Comparison between RISC architectures: MIPS, ARM and SPARCComparison between RISC architectures: MIPS, ARM and SPARC
Comparison between RISC architectures: MIPS, ARM and SPARC
 
Arm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_modelArm cm3 architecture_and_programmer_model
Arm cm3 architecture_and_programmer_model
 
EMBEDDED SYSTEM DESIGN ARM architecture support for operating system by sanj...
 EMBEDDED SYSTEM DESIGN ARM architecture support for operating system by sanj... EMBEDDED SYSTEM DESIGN ARM architecture support for operating system by sanj...
EMBEDDED SYSTEM DESIGN ARM architecture support for operating system by sanj...
 
Arm processor architecture awareness session pi technologies
Arm processor architecture awareness session pi technologiesArm processor architecture awareness session pi technologies
Arm processor architecture awareness session pi technologies
 
Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3 Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3
 
ARM Versions, architecture
ARM Versions, architectureARM Versions, architecture
ARM Versions, architecture
 
Embedded Systems (18EC62) - ARM Cortex-M3 Instruction Set and Programming (Mo...
Embedded Systems (18EC62) - ARM Cortex-M3 Instruction Set and Programming (Mo...Embedded Systems (18EC62) - ARM Cortex-M3 Instruction Set and Programming (Mo...
Embedded Systems (18EC62) - ARM Cortex-M3 Instruction Set and Programming (Mo...
 
Arm corrected ppt
Arm corrected pptArm corrected ppt
Arm corrected ppt
 
Lpc1768
Lpc1768Lpc1768
Lpc1768
 
ARM 7 Detailed instruction set
ARM 7 Detailed instruction setARM 7 Detailed instruction set
ARM 7 Detailed instruction set
 
ARM Architecture
ARM ArchitectureARM Architecture
ARM Architecture
 
Q4.11: ARM Technology Update Plenary
Q4.11: ARM Technology Update PlenaryQ4.11: ARM Technology Update Plenary
Q4.11: ARM Technology Update Plenary
 
ARM Processors
ARM ProcessorsARM Processors
ARM Processors
 

Similar to ARM cortex A15

Tegra 4i expands the market
Tegra 4i expands the marketTegra 4i expands the market
Tegra 4i expands the market
Brian Caulfield
 
18CS44-MODULE1-PPT.pptx
18CS44-MODULE1-PPT.pptx18CS44-MODULE1-PPT.pptx
18CS44-MODULE1-PPT.pptx
KokilaK25
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)
Jonah McLeod
 

Similar to ARM cortex A15 (20)

Module 1 - ARM 32 Bit Microcontroller
Module 1 - ARM 32 Bit Microcontroller Module 1 - ARM 32 Bit Microcontroller
Module 1 - ARM 32 Bit Microcontroller
 
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based MultiprocessingArm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
Arm DynamIQ: Intelligent Solutions Using Cluster Based Multiprocessing
 
LCE12: LCE12 ARMv8 Plenary
LCE12: LCE12 ARMv8 PlenaryLCE12: LCE12 ARMv8 Plenary
LCE12: LCE12 ARMv8 Plenary
 
18CS44-MODULE1-PPT.pdf
18CS44-MODULE1-PPT.pdf18CS44-MODULE1-PPT.pdf
18CS44-MODULE1-PPT.pdf
 
Ppt
PptPpt
Ppt
 
Tegra 4i expands the market
Tegra 4i expands the marketTegra 4i expands the market
Tegra 4i expands the market
 
18CS44-MODULE1-PPT.pptx
18CS44-MODULE1-PPT.pptx18CS44-MODULE1-PPT.pptx
18CS44-MODULE1-PPT.pptx
 
LAS16 100 K1 - Keynote George Grey
LAS16 100 K1 - Keynote George GreyLAS16 100 K1 - Keynote George Grey
LAS16 100 K1 - Keynote George Grey
 
LAS16-100K1: Welcome Keynote
LAS16-100K1: Welcome KeynoteLAS16-100K1: Welcome Keynote
LAS16-100K1: Welcome Keynote
 
iPhone Architecture - Review
iPhone Architecture - ReviewiPhone Architecture - Review
iPhone Architecture - Review
 
Chapter_01_See_Program_Running.pptx
Chapter_01_See_Program_Running.pptxChapter_01_See_Program_Running.pptx
Chapter_01_See_Program_Running.pptx
 
ES Module_1.pptx
ES Module_1.pptxES Module_1.pptx
ES Module_1.pptx
 
Balance, Flexibility, and Partnership: An ARM Approach to Future HPC Node Arc...
Balance, Flexibility, and Partnership: An ARM Approach to Future HPC Node Arc...Balance, Flexibility, and Partnership: An ARM Approach to Future HPC Node Arc...
Balance, Flexibility, and Partnership: An ARM Approach to Future HPC Node Arc...
 
LECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORSLECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORS
 
Architecture and Implementation of the ARM Cortex-A8 Microprocessor
Architecture and Implementation of the ARM Cortex-A8 MicroprocessorArchitecture and Implementation of the ARM Cortex-A8 Microprocessor
Architecture and Implementation of the ARM Cortex-A8 Microprocessor
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)
 
arm-cortex-a8
arm-cortex-a8arm-cortex-a8
arm-cortex-a8
 
How to Select Hardware for Internet of Things Systems?
How to Select Hardware for Internet of Things Systems?How to Select Hardware for Internet of Things Systems?
How to Select Hardware for Internet of Things Systems?
 
Arm usr m,anl
Arm usr m,anlArm usr m,anl
Arm usr m,anl
 
Inter connect2016 yps-2749_02232016_aspresented
Inter connect2016 yps-2749_02232016_aspresentedInter connect2016 yps-2749_02232016_aspresented
Inter connect2016 yps-2749_02232016_aspresented
 

Recently uploaded

Recently uploaded (20)

Google I/O Extended 2024 Warsaw
Google I/O Extended 2024 WarsawGoogle I/O Extended 2024 Warsaw
Google I/O Extended 2024 Warsaw
 
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
 
IoT Analytics Company Presentation May 2024
IoT Analytics Company Presentation May 2024IoT Analytics Company Presentation May 2024
IoT Analytics Company Presentation May 2024
 
Enterprise Knowledge Graphs - Data Summit 2024
Enterprise Knowledge Graphs - Data Summit 2024Enterprise Knowledge Graphs - Data Summit 2024
Enterprise Knowledge Graphs - Data Summit 2024
 
The UX of Automation by AJ King, Senior UX Researcher, Ocado
The UX of Automation by AJ King, Senior UX Researcher, OcadoThe UX of Automation by AJ King, Senior UX Researcher, Ocado
The UX of Automation by AJ King, Senior UX Researcher, Ocado
 
Strategic AI Integration in Engineering Teams
Strategic AI Integration in Engineering TeamsStrategic AI Integration in Engineering Teams
Strategic AI Integration in Engineering Teams
 
Extensible Python: Robustness through Addition - PyCon 2024
Extensible Python: Robustness through Addition - PyCon 2024Extensible Python: Robustness through Addition - PyCon 2024
Extensible Python: Robustness through Addition - PyCon 2024
 
Intro in Product Management - Коротко про професію продакт менеджера
Intro in Product Management - Коротко про професію продакт менеджераIntro in Product Management - Коротко про професію продакт менеджера
Intro in Product Management - Коротко про професію продакт менеджера
 
The Metaverse: Are We There Yet?
The  Metaverse:    Are   We  There  Yet?The  Metaverse:    Are   We  There  Yet?
The Metaverse: Are We There Yet?
 
Demystifying gRPC in .Net by John Staveley
Demystifying gRPC in .Net by John StaveleyDemystifying gRPC in .Net by John Staveley
Demystifying gRPC in .Net by John Staveley
 
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdfThe Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
 
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
 
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
 
SOQL 201 for Admins & Developers: Slice & Dice Your Org’s Data With Aggregate...
SOQL 201 for Admins & Developers: Slice & Dice Your Org’s Data With Aggregate...SOQL 201 for Admins & Developers: Slice & Dice Your Org’s Data With Aggregate...
SOQL 201 for Admins & Developers: Slice & Dice Your Org’s Data With Aggregate...
 
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
 
AI presentation and introduction - Retrieval Augmented Generation RAG 101
AI presentation and introduction - Retrieval Augmented Generation RAG 101AI presentation and introduction - Retrieval Augmented Generation RAG 101
AI presentation and introduction - Retrieval Augmented Generation RAG 101
 
ASRock Industrial FDO Solutions in Action for Industrial Edge AI _ Kenny at A...
ASRock Industrial FDO Solutions in Action for Industrial Edge AI _ Kenny at A...ASRock Industrial FDO Solutions in Action for Industrial Edge AI _ Kenny at A...
ASRock Industrial FDO Solutions in Action for Industrial Edge AI _ Kenny at A...
 
Top 10 Symfony Development Companies 2024
Top 10 Symfony Development Companies 2024Top 10 Symfony Development Companies 2024
Top 10 Symfony Development Companies 2024
 
How we scaled to 80K users by doing nothing!.pdf
How we scaled to 80K users by doing nothing!.pdfHow we scaled to 80K users by doing nothing!.pdf
How we scaled to 80K users by doing nothing!.pdf
 
WebAssembly is Key to Better LLM Performance
WebAssembly is Key to Better LLM PerformanceWebAssembly is Key to Better LLM Performance
WebAssembly is Key to Better LLM Performance
 

ARM cortex A15

  • 2. AGEND A SLIDE NO • INTRODUCTION 3 3 • GENERAL CONCEPT 4 4 • ARM CORTEX A15 5 5 • FEATURES 6 6 • APPLICATION 12 12 • SUMMARY 14 14 • REFERENCES 15 15 2
  • 3. ARM CORTEX A15 is in production late 2011, to market late 2012. Designed by ARM. The Cortex-A15 MPCore processor is the latest member of the Cortex-A series of processors. The ARM Cortex -A15 MP Core processor is the highestperformance licensable processor the industry has ever seen. It delivers unprecedented processing capability, combined with low power consumption. 3
  • 4. 4
  • 5. ARM CORTEX A 15 ARM Cortex-A15 Core Produced In production late 2011, to market late 2012 Designed by ARM Max. CPU clock rate 1.0 GHz to 2.5 GHz Min. feature size 32 nm/28 nm initially to 20 nm roadmap Instruction set ARMv7 Cores 1-4 per cluster, 1-2 clusters per physical chip L1 cache 64 kB (32 kB I-Cache, 32 kB D-Cache) per core L2 cache up to 4 MB L3 cache None 5
  • 6. Key features of the Cortex-A15 core •NEON •SIMD. •VFPv4 Floating Point Unit. •Thumb-2 instruction set encoding reduces the size of programs with little impact on performance. •TrustZone security. •Jazelle . 6
  • 7. Single Instruction Multiple Data (SIMD) • Some modern software, particularly media codecs and graphics accelerators, operate on large amounts of data that is less than wordsized. • Generally audio applications uses 16-bit data , graphics and video uses 8bit data . • When performing these operations on a 32-bit microprocessor, parts of the computation units are unused, but continue to consume power. • uses a single instruction to perform the same operation in parallel on multiple data elements of the same type and size. • This way, the hardware that normally adds two 32-bit values instead performs four parallel additions of 8-bit values in the same amount of time.
  • 8. EXAMPLE Instruction UADD8 R0, R1, R2. This operation performs a parallel addition of four lanes of 8-bit elements packed into vectors stored in general purpose registers R1 and R2, and places the result into a vector in register R0. 4-way 8-bit unsigned integer add operation
  • 9. NEON NEON technology is implemented on all current ARM Cortex-A series processors. NEON instructions are executed as part of the ARM or Thumb instruction stream. This simplifies software development, debugging, and integration compared to using an external accelerator. Traditional ARM or Thumb instructions manage all program flow and synchronization. The NEON instructions perform: • memory accesses • data copying between NEON and general purpose registers • data type conversion • data processing. 9
  • 10. EXAMPLE INSTRUCTION :- VADD.I16 Q0, Q1, Q2 VADD.I16 Q0, Q1, Q2 instruction performs a parallel addition of eight lanes of 16-bit elements from vectors in Q1 and Q2, storing the result in Q0. The NEON instructions support 8-bit, 16-bit, 32-bit, and 64-bit signed and unsigned integers. 8-way 16-bit integer add operation. 10
  • 11. TrustZone security It enbles system-wide security by integrating protective measures into the ARM processor and system peripheral IP. This ensure that the sensitive data remains safe. ARM Jazelle Technology Jazelle technology accelerates mobile phone Java applications and increases battery life. NewJazelle technology to dramatically reduce application memory footprint Increases performance and power saving in a wide range of applications including • Mobile phones • Consumer devices. 11
  • 12. APPLICATIONS Smartphone and Computing Usage range: •1 GHz – 1.5 GHz single or dual-core configurations Device characteristics: •Elastic performance: o Instant web-browsing, high-bandwidth operation o Increasing media and floating-point performance •Optimum power: o Extended low-power range and better battery life •Richer experience: o Console-quality gaming, navigation applications Digital Home Entertainment Usage range: •1 GHz – 2 GHz dual-core or quad-core configurations Device characteristics: • High-end performance: o General-purpose and media performance . o Intensive streaming, o Media and graphics and compute workloads. •Larger physical memory: o Larger than 4GB of memory attached 12
  • 13. Samsung outs a dual-core ARM Cortex A15 chip with 2560x1600 display support The block diagram for Samsung's new Exynos 5 Dual SoC 13
  • 14. SUMMARY The Cortex-A15 extends the application processor family with Dramatic increase in single-thread and overall performance.Compelling new features, functionality enable exciting OEM products Scalability for large-scale computing and system-on-chip integration Cortex-A15 has strong momentum in mobile market. ARM Cortex-A family provides broadest range of processors .utra-low cost smartphonesthrough to tablets and beyondFull upward software and feature-set compatibility Address cloud computing challenges from end to end. 14
  • 15. REFERENCES RESEARCH PAPERS [1] Cortex™-A15 Revision: r2p0 Technical Reference Manual. [2] W H I T E P A P E R Brian Carlson OMAP 5 Product Line Manager Member of Group Technical Staff (MGTS) Wireless business unit. © 2011 Texas Instruments Incorporated. [3] W H I T E P A P E R ‘ARM NEON support in the ARM’ REFERENCE BOOKS [4] Embedded Real Time System: Concepts , Design & Programming, Dr.K.VK.K.Prasad,Dreamtech Publication. WEBSITE [5] WWW.ARM.COM [6]www.google.com [7] www.wikipedia.com [8] New Samsung Cortex A15-based chip opens door to “Retina” Android tablets _ Ars Technica.htm 15
  • 16. 16 16

Editor's Notes

  1. 1