SlideShare a Scribd company logo
1 of 3
Kishor S
Tel no: (+91) 9788095572
Email:kishoresec14@rediffmail.com
To secure a challenging position where I can effectively contribute my skills as a design
Engineer in VLSI domain, possessing competent Technical Skills to achieve the target of
the company inline with my co-workers.
PROFESSIONAL SYNOPSIS
 A result oriented professional with 1 year seven moths experience in the area of RTL
Design.
 Proficiency in languages like VHDL, Verilog HDL and C
 Having the knowledge communication protocols like UART, RS232, USB 2.0, SPI, I2C,
and encryption Algorithm AES.
 Proficiency in tools like Tanner EDA V14.1, Xilinx ISE 14.1, Xilinx System Generator
and Altera FPGA Design Tools.
 Handled projects Real Time Clock, Dual Port RAM, Green Crop
 Involved in FPGA Board Development.
ORGANIZATIONAL EXPERIENCE
 Working as a VLSI Project Engineer in Vee Eee TECHNOLOGIES solution Pvt Ltd,
Chennai from August 2013 to till date.
SUMMARY OF QUALIFICATIONS
 Experience in writing RTL models in Verilog HDL Test benches
 Very good knowledge in Tanner EDA Tool.
 Good understanding of ASIC and FPGA design flow.
 Good understanding of Digital Electronics and Digital Design methodologies.
 Experience in industry standard EDA tools for the front-end design.
Notable Accomplishments
 Independent and self-motivated
 Committed to deadlines and schedules
Key projects handled
Title: Green Crop
SKILL SETS: VHDL, Xilinx Design Tools
Contribution:
 Develop the Clock and control signals for CCD Image sensor.
 Develop the Color sorting Algorithm.
 Develop the interface model for HMI.
 Develop the test bench for all above modules.
Description:
GREEN CROP sets standards in the grain milling industry. Its processing systems with
capacities ranging from 2 to 10 metric tons per hour guarantee maximum yields of outstanding
quality with minimum product breakage.
Title: Real Time Clock – RTL design
SKILL SETS: Verilog, Modelsim , Xilinx Design Tool
Contribution:
 Design of Real Time Clock using Verilog HDL independently.
 Simulated the RTL model using Modelsim.
 Synthesized the design.
Title: Dual Port RAM – RTL design
SKILL SETS: Verilog, Modelsim , Xilinx Design Tool
Contribution:
 Design of Dual Port RAM using Verilog HDL independently.
 Simulated the RTL model using Modelsim.
 Synthesized the design.
Academic project:
Title: FPGA implementation of Efficient VLSI Architecture for Multiplier
Description: Design of Modified Booth Multiplier done in VHDL
Co-Curricular Activities:
Paper Presentation:
 Presented a paper on “CELL PHONE SENSOR SYSTEM TO PREVENT NUCLEAR
TERRORISM” in Arunai Engineering College, Thiruvannamalai.
Membership:
 An Active Member of “ The Institution of Electronics and Telecommunication
Engineers” (IETE) Forum
Scholastic Credentials
 Bachelor of Engineering in Electronics and Communication Engineering, Erode
Sengunthar Engineering College, Anna University, 7.91 CGPA, 2013.
 H.S.C, Govt. Higher Secondary School, Kalambur, 88.6%, 2009.
 SSLC, Govt.Higher Secondary School, Kalambur, 85%, 2007.
IT SKILL SET
Languages:
C, VHDL, Verilog HDL.
Tools:
Xilinx, Altera Design Tools, Tanner EDA and Modelsim.
Protocols:
I2C, SPI, UART, USB 2.0, RS232 and AES
Personal Details:
Date of Birth : 4th July 1992
Languages Known : English, Tamil
Father’s Name : M.selvaraj
Mailing Address : 2/84, Perumal Koil Street, Kalambur-606903
Date:
Place:
S.Kishor

More Related Content

What's hot

What's hot (20)

Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
 
SaiKumarGurram_Resume
SaiKumarGurram_ResumeSaiKumarGurram_Resume
SaiKumarGurram_Resume
 
cover_letter_resume
cover_letter_resumecover_letter_resume
cover_letter_resume
 
RESUME
RESUMERESUME
RESUME
 
CV_Swapnil_Deshmukh
CV_Swapnil_DeshmukhCV_Swapnil_Deshmukh
CV_Swapnil_Deshmukh
 
CV-Yiya Hao_DSP
CV-Yiya Hao_DSPCV-Yiya Hao_DSP
CV-Yiya Hao_DSP
 
Curriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_docCurriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_doc
 
Resume
ResumeResume
Resume
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Tulika_Gupta_DFT_5yrs
Tulika_Gupta_DFT_5yrsTulika_Gupta_DFT_5yrs
Tulika_Gupta_DFT_5yrs
 
CV-Yiya Hao_DSP
CV-Yiya Hao_DSPCV-Yiya Hao_DSP
CV-Yiya Hao_DSP
 
santhosh popshetwar
santhosh popshetwarsanthosh popshetwar
santhosh popshetwar
 
hetshah_resume
hetshah_resumehetshah_resume
hetshah_resume
 
Simon Chen Resume 07252016
Simon Chen Resume 07252016Simon Chen Resume 07252016
Simon Chen Resume 07252016
 
MANOJ_H_RAO_Resume
MANOJ_H_RAO_ResumeMANOJ_H_RAO_Resume
MANOJ_H_RAO_Resume
 
Omkar revankar resume
Omkar revankar resumeOmkar revankar resume
Omkar revankar resume
 
Kannan_Resume
Kannan_ResumeKannan_Resume
Kannan_Resume
 
Praveen Kumar S S.docx(1)
Praveen Kumar S S.docx(1)Praveen Kumar S S.docx(1)
Praveen Kumar S S.docx(1)
 
Sangal prakash, bhimsen
Sangal prakash, bhimsenSangal prakash, bhimsen
Sangal prakash, bhimsen
 
DrazenGrasovec_CV
DrazenGrasovec_CVDrazenGrasovec_CV
DrazenGrasovec_CV
 

Similar to Kishor_cv (20)

Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth Resume
 
verification resume
verification resumeverification resume
verification resume
 
RESUME_VLSI
RESUME_VLSIRESUME_VLSI
RESUME_VLSI
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
NEHA JAIN_RESUME
NEHA JAIN_RESUMENEHA JAIN_RESUME
NEHA JAIN_RESUME
 
Abdelrahman_Elskhawy
Abdelrahman_ElskhawyAbdelrahman_Elskhawy
Abdelrahman_Elskhawy
 
Abdelrahman_Elskhawy
Abdelrahman_ElskhawyAbdelrahman_Elskhawy
Abdelrahman_Elskhawy
 
Chitra_BE_ECE_2015_74AGG
Chitra_BE_ECE_2015_74AGGChitra_BE_ECE_2015_74AGG
Chitra_BE_ECE_2015_74AGG
 
jayesh_resume
jayesh_resumejayesh_resume
jayesh_resume
 
Resume16AugV
Resume16AugVResume16AugV
Resume16AugV
 
Bkl_12_9_T_0515
Bkl_12_9_T_0515Bkl_12_9_T_0515
Bkl_12_9_T_0515
 
Soumya_V
Soumya_VSoumya_V
Soumya_V
 
Resume-Rebecca Hebda
Resume-Rebecca HebdaResume-Rebecca Hebda
Resume-Rebecca Hebda
 
Sarvesh_kumar
Sarvesh_kumarSarvesh_kumar
Sarvesh_kumar
 
Chirko, Kenneth Resume - long
Chirko, Kenneth Resume - longChirko, Kenneth Resume - long
Chirko, Kenneth Resume - long
 
Mayur Resume
Mayur ResumeMayur Resume
Mayur Resume
 
resume_RAVI
resume_RAVIresume_RAVI
resume_RAVI
 
Raviiii
RaviiiiRaviiii
Raviiii
 
sampath_kumar
sampath_kumarsampath_kumar
sampath_kumar
 

Kishor_cv

  • 1. Kishor S Tel no: (+91) 9788095572 Email:kishoresec14@rediffmail.com To secure a challenging position where I can effectively contribute my skills as a design Engineer in VLSI domain, possessing competent Technical Skills to achieve the target of the company inline with my co-workers. PROFESSIONAL SYNOPSIS  A result oriented professional with 1 year seven moths experience in the area of RTL Design.  Proficiency in languages like VHDL, Verilog HDL and C  Having the knowledge communication protocols like UART, RS232, USB 2.0, SPI, I2C, and encryption Algorithm AES.  Proficiency in tools like Tanner EDA V14.1, Xilinx ISE 14.1, Xilinx System Generator and Altera FPGA Design Tools.  Handled projects Real Time Clock, Dual Port RAM, Green Crop  Involved in FPGA Board Development. ORGANIZATIONAL EXPERIENCE  Working as a VLSI Project Engineer in Vee Eee TECHNOLOGIES solution Pvt Ltd, Chennai from August 2013 to till date. SUMMARY OF QUALIFICATIONS  Experience in writing RTL models in Verilog HDL Test benches  Very good knowledge in Tanner EDA Tool.  Good understanding of ASIC and FPGA design flow.  Good understanding of Digital Electronics and Digital Design methodologies.  Experience in industry standard EDA tools for the front-end design. Notable Accomplishments  Independent and self-motivated  Committed to deadlines and schedules Key projects handled Title: Green Crop SKILL SETS: VHDL, Xilinx Design Tools Contribution:  Develop the Clock and control signals for CCD Image sensor.  Develop the Color sorting Algorithm.  Develop the interface model for HMI.  Develop the test bench for all above modules.
  • 2. Description: GREEN CROP sets standards in the grain milling industry. Its processing systems with capacities ranging from 2 to 10 metric tons per hour guarantee maximum yields of outstanding quality with minimum product breakage. Title: Real Time Clock – RTL design SKILL SETS: Verilog, Modelsim , Xilinx Design Tool Contribution:  Design of Real Time Clock using Verilog HDL independently.  Simulated the RTL model using Modelsim.  Synthesized the design. Title: Dual Port RAM – RTL design SKILL SETS: Verilog, Modelsim , Xilinx Design Tool Contribution:  Design of Dual Port RAM using Verilog HDL independently.  Simulated the RTL model using Modelsim.  Synthesized the design. Academic project: Title: FPGA implementation of Efficient VLSI Architecture for Multiplier Description: Design of Modified Booth Multiplier done in VHDL Co-Curricular Activities: Paper Presentation:  Presented a paper on “CELL PHONE SENSOR SYSTEM TO PREVENT NUCLEAR TERRORISM” in Arunai Engineering College, Thiruvannamalai. Membership:  An Active Member of “ The Institution of Electronics and Telecommunication Engineers” (IETE) Forum Scholastic Credentials  Bachelor of Engineering in Electronics and Communication Engineering, Erode Sengunthar Engineering College, Anna University, 7.91 CGPA, 2013.  H.S.C, Govt. Higher Secondary School, Kalambur, 88.6%, 2009.  SSLC, Govt.Higher Secondary School, Kalambur, 85%, 2007. IT SKILL SET Languages: C, VHDL, Verilog HDL. Tools: Xilinx, Altera Design Tools, Tanner EDA and Modelsim. Protocols: I2C, SPI, UART, USB 2.0, RS232 and AES
  • 3. Personal Details: Date of Birth : 4th July 1992 Languages Known : English, Tamil Father’s Name : M.selvaraj Mailing Address : 2/84, Perumal Koil Street, Kalambur-606903 Date: Place: S.Kishor