SlideShare a Scribd company logo
1 of 33
Major Project Presentation On Hierarchical
Timing Analysis Of VLSI Circuits
Presented by:-
Ashish Sharma
M. Tech. (124601)- Part Time- 7th Semester
Under the supervision of:-
Mr. Sushobhit Singh
Electrical Department, DEI, Agra
2015
Outline
 Introduction
 Why Timing Analysis ?
 Basic of Timing Analysis
 Block diagram of Static Timing Analysis in Design Flow
 Digital Circuit To Timing Model
 Static Timing Analysis
 Timing Paths
 Block diagram of Hierarchical Timing Analysis
 Applications
 Conclusion
 References
Introduction
 To ensure that a digital semiconductor design works as
planned, design teams use static timing analysis to
determine if the clocks and signals are correctly timed.
Currently, static timing analysis is performed flat or
hierarchically, mostly depending on the size of the design.
Flat analysis provides the most accurate results, as it is
completely transparent down to the logic cells, but it
requires more memory and a significant amount of time to
run because every cell and every wire in the design is
analyzed. It’s no wonder that, as design sizes continue to
grow, designers are looking to hierarchical methodologies
to help alleviate huge runtimes.
In static timing analysis, digital circuits are
transformed into timing graph, and then the delays
of the paths are verified with the corresponding
timing constraints.
Using a hierarchical approach, a designer selects
and times certain blocks of logic, generating results
more quickly and with fewer memory resources.
These blocks are then modelled as timing
abstractions at the top level of the design to reduce
the overall amount of detailed analysis in the final
stages. This hierarchical approach minimizes the
time to get results.
Introduction
Why Timing Analysis??
There are a couple of reasons for performing timing
analysis.
We want to verify whether our circuit meet all of
its timing requirements (Timing Constraints).
There are 3 types of design constraints timing,
power, area. During designing there is a tradeoffs
Between speed, area, power, and runtime
according to the constraints set by the designer.
However, a chip must meet the timing constraints
in order to operate at the intended clock rate, so
timing is the most important design constraint.
We want to make sure that circuit is properly
designed and can work properly for all
combinations of components over the entire
specified operating environment. "Every Time".
So, Timing analysis is the methodical analysis of
a digital circuit to determine if the timing
constraints imposed by components or interfaces
are met. Typically, this means that you are trying
to prove that all setup, hold, and pulse-width
times are being met.
Timing analysis is integral part of ASIC/VLSI
design flow. Anything else can be compromised
but not timing!
Basic of Timing Analysis
The basis of all timing analysis is the clock and the sequential
component. Following are few of the things related to clock and flip
flop which we usually wants to take care during Timing analysis.
Clock related :--
It must be well understood parametrically and glitchfree.
Timing analysis must ensure that any clocks that are generated by the
logic are clean, are of bounded period and duty cycle, and of a known
phase relationship to other clock signals of interest.
The clock must, for both high and low phases, meet the minimum pulse
width requirements.
Certain circuits, such as PLLs, may have other requirements such as
maximum jitter. As the clock speeds increase, jitter becomes an
increasingly important parameter.
When "passing" data from one clock edge to the other, ensure that the
worstcase duty cycle is used for the calculation. A frequent source of
error is the analyst assuming that every clock will have a 50% duty
cycle.
Basic of Timing Analysis
Flip Flop related :--
All of the flip flops parameters are always met. The only exception to this
is when synchronizers are used to synchronize asynchronous signals
For asynchronous presets and clears, there are two basic parameters that
must be met.
All setup and hold times are met for the earliest/latest arrival times for the
clock.
Setup times are generally calculated by designers and suitable margins
can be demonstrated under test. Hold times, however, are frequently
not calculated by designers.
When passing data from one clock domain to another, ensure that there is
either known phase relationships which will guarantee meeting setup
and hold times or that the circuits are properly synchronized
Static Timing Analysis in Design Flow
Static Timer is integrated in each stage.
Need efficient static timer.
Design Flow
Floorplaning
Synthesis
Placement
& Routing
Static Timing
Analysis
Timing Analysis Dealing with False & Multi-cycle Paths
 False Path:- A path which never be activated
by any input vector.
 Multi cycle Path:- A multi-cycle path is a path
that signals propagate longer than one clock cycle.
Digital Circuit To Timing Model
 Figure---
"Timing Paths" : Static Timing Analysis (STA) basic
 Static Timing analysis is divided into several parts:--
 Part1 > Timing Paths
 Part2 >Time Borrowing
 Part3a >Basic Concept Of Setup and Hold
 Part3b >Basic Concept of Setup and Hold Violation
 Part4a > Delay Timing Path Delay
 Part4b > Delay Interconnect Delay Models
 Part4c > Delay Wire Load Model
 Part5a > Maximum Clock Frequency
 Part 6a >Methods for Increase/Decrease the Delay of Circuit (Effect of Wire Length
On the Slew)
 Part 6b >Methods for Increase/Decrease the Delay of Circuit (Effect of Size of the
Transistor On the Slew)
 Part 6c >Methods for Increase/Decrease the Delay of Circuit (Effect of Threshold
voltage On the Slew)
 Part 7 >Ways to fix Setup and Hold Violation
STA : Static Timing Analysis:
 Static timing analysis is a method of validating the timing
performance of a design by checking all possible paths for timing
violations under worst-case conditions. It considers the worst
possible delay through each logic element, but not the logical
operation of the circuit.
In comparison to circuit simulation, static timing analysis is
 Faster:-- It is faster because it does not need to simulate multiple test
vectors.
 More Thorough-- It is more thorough because it checks the worst-
case timing for all possible logic conditions, not just those sensitized
by a particular set of test vectors.
STA : Static Timing Analysis:
 In static timing analysis, the word static alludes to the fact that this
timing analysis is carried out in an input independent manner. It
locates the worst-case delay of the circuit over all possible input
combinations. There are huge numbers of logic paths inside a chip of
complex design. The advantage of STA is that it performs timing
analysis on all possible paths (whether they are real or potential false
paths).
 However, it is worth noting that STA is not suitable for all design
styles. It has proven efficient only for fully synchronous designs.
Since the majority of chip design is synchronous, it has become a
mainstay of chip design over the last few decades.
The Way STA is performed on a given Circuit:
To check a design for violations or say to perform STA
there are 3 main steps:
 Design is broken down into sets of timing paths,
 Calculates the signal propagation delay along each path
 And checks for violations of timing constraints inside
the design and at the input/output interface.
The STA tool analyzes ALL paths from each and every
start point to each and every endpoint and compares it
against the constraint that (should) exist for that path.
All paths should be constrained, most paths are
constrained by the definition of the period of the clock,
and the timing characteristics of the primary inputs and
outputs of the circuit. Before we start all this we should
know few key concepts in STA method: timing path,
arrive time, required time, slack and critical path.
Timing Paths:
 Timing paths can be divided as per the type of signals
(e.g clock signal, data signal etc).
 Each Timing path has a "Start Point" and an "End Point".
Definition of Start Point and End Point vary as per the
type of the timing path. E.g for the Data path The Start
point is a place in the design where data is launched by
a clock edge. The data is propagated through
combinational logic in the path and then captured at the
endpoint by another clock edge.
 Start Point and End Point are different for each type of
paths. It's very important to understand this clearly to
understand and analysing the Timing analysis report
and fixing the timing violation.
Types of Paths for Timing analysis
 1. Data Path
 2. Clock Path
 3. Clock Gating Path
 4. Asynchronous Path
Timing Paths:
1. Data path
Start Point
 Input port of the design (because the input data can be
launched from some external source).
 Clock pin of the flipflop/ latch/memory (sequential cell)
End Point
 Data input pin of the flipflop/latch/memory (sequential
cell)
 Output port of the design (because the output data can
be captured by some external sink)
Timing Paths:
2. Clock Path
Start Point
 Clock input port
End Point
 Clock pin of the flip flop/ latch/memory (sequential cell)
3. Clock Gating Path
 Start Point
 Input port of the design
 End Point
 Input port of clock gating element.
Timing Paths:
4. Asynchronous path
 Start Point
 Input Port of the design
 End Point
 Set/Reset/Clear pin of the flip flop/ latch/memory
(sequential cell)
1.. Timing Paths: Data Paths:
 If we use all the combination of 2 types of Starting Point
and 2 types of End Point, we can say that there are 4
types of Timing Paths on the basis of Start and End point.
 Input pin/port to Register(flipflop).
 Input pin/port to Output pin/port.
 Register (flipflop) to Register (flipflop)
 Register (flipflop) to Output pin/port
see the following figure:--
 PATH1 starts at an input port and ends at the data input
of a sequential element. (Input port to Register)
 PATH2 starts at the clock pin of a sequential element and
ends at the data input of a sequential element. (Register
to Register)
Timing Paths: Data Paths:
 PATH3 starts at the clock pin of a sequential element and ends at an output
port. (Register to Output port).
 PATH4 starts at an input port and ends at an output port. (Input port to
Output port)
2.. Timing Paths: Clock Path:
 clock path the starts from the input port/pin of the
design which is specific for the Clock input and the end
point is the clock pin of a sequential element. In between
the Start point and the end point there may be lots of
Buffers/Inverters/clock divider.
3.. Timing Paths: Clock Gating Path:
 Clock path may be passed trough a “gated element” to achieve
additional advantages. In this case, characteristics and definitions of
the clock change accordingly. We call this type of clock path as “gated
clock path”. LD pin is not a part of any clock but it is using for gating
the original CLK signal. Such type of paths are neither a part of Clock
path nor of Data Path because as per the Start Point and End Point
definition of these paths, its different. So such type of paths are part of
Clock gating path.
4.. Timing Paths: Asynchronous path::
 A path from an input port to an asynchronous set or clear
pin of a sequential element. As you know that the
functionality of set/reset pin is independent from the clock
edge. Its level triggered pins and can start functioning at any
time of data. So in other way we can say that this path is not
in synchronous with the rest of the circuit and that's the
reason we are saying such type of path an Asynchronous
path.
Timing Paths: Other types of Paths:
 Critical path
 False Path
 Multi cycle path
 Single Cycle path
 Launch Path
 Capture Path
 Longest Path ( also know as Worst Path , Late Path , Max
Path , Maximum Delay Path )
 Shortest Path ( Also Know as Best Path , Early Path , Min
Path, Minimum Delay Path)
VLSI Circuit for STA Timing Analysis
STA Timing Report
Hierarchical Timing Analysis
A design is divided into multiple blocks & each
block is characterized into a timing model.
For linear delay model, the timing calculation can
be separated according to the boundary of the
partitions.
gates
Partition Design
Into Multiple Blocks
Characterize Blocks
into Timing Models
gates
gates
Applications
 To ensure that a digital semiconductor design works as
planned
 To determine if the clocks and signals are correctly
timed.
 To help in component selection in vlsi design.
Conclusion
 The complexity of integrated circuits increases drastically as more
functions are merged into one chip. In order to handle such design
complexity, hierarchical design flows are adopted. Modules are
implemented and verified separately; SoC designs are created from
modules with abstract models to reduce the runtime of verification.
 As a solution, statistical timing analysis is introduced to evaluate the
timing performance of a circuit more accurately.
 Timing performance determines the proper behaviour of a digital
circuit. In order to reduce the runtime of the timing evaluation of such
a circuit, static timing analysis is used. For flip-flop based circuits the
delays between flip-flops can be computed by applying block-based
or path-based timing analysis methods to the combinational circuits
between all the flip-flops. The clock period of the circuit is
determined by the resulting delays and the corresponding setup time
and hold time constraints.
References
[1] Cadence incremental common timing engine, 2005.
http://www.cadence.com/products/digital ic/gps.aspx
[2] Synopsys static timing analysis, 2006.
http://www.synopsys.com/products/analysis/ptsi ds.html.
[3] K. P Belkhale and A. J. Suess. Timing analysis with known false sub graphs.
In Proc. of the Intl. Conf. on Computer-Aided Design, pages 736–740, 1995
[4] J. Benkowski, E. Vanden Meersch, L. Claesen, and H. De Man. Efficient
algorithms for solving the false path problem in timing verification. In Proc.
of the Intl. Conf. on Computer-Aided Design, pages 44–47, 1987.
[6] D. Blaauw, R. Panda, and A. Das. Removing user-specified false paths from
timing graphs. In Proc. of the Design Automation Conf., pages 270–273,
2000.
[7] H. C. Chen and D. H. C. Du. Path sensitization in critical path problem. In
Proc. of the Intl. Conf. on Computer-Aided Design, pages 208–211, 1991.
THANK YOU

More Related Content

What's hot

14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clockNallapati Anindra
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introductionUsha Mehta
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Contamination delay
Contamination delayContamination delay
Contamination delayNima Afraz
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction TechniquesRajesh M
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedyUsha Mehta
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilationRajesh M
 

What's hot (20)

14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Timing analysis
Timing analysisTiming analysis
Timing analysis
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Contamination delay
Contamination delayContamination delay
Contamination delay
 
Crosstalk
CrosstalkCrosstalk
Crosstalk
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
Clock Skew 1
Clock Skew 1Clock Skew 1
Clock Skew 1
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 

Viewers also liked

timing-analysis
 timing-analysis timing-analysis
timing-analysisVimal Raj
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingTom Spyrou
 
Static Timing Analysis
Static Timing AnalysisStatic Timing Analysis
Static Timing Analysisshobhan pujari
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Top 10 verification engineer interview questions and answers
Top 10 verification engineer interview questions and answersTop 10 verification engineer interview questions and answers
Top 10 verification engineer interview questions and answerstonychoper2706
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsLekashri Subramanian
 

Viewers also liked (11)

vlsi Lecture05
vlsi Lecture05vlsi Lecture05
vlsi Lecture05
 
timing-analysis
 timing-analysis timing-analysis
timing-analysis
 
Clock Distribution
Clock DistributionClock Distribution
Clock Distribution
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timing
 
Static Timing Analysis
Static Timing AnalysisStatic Timing Analysis
Static Timing Analysis
 
Synthesis
SynthesisSynthesis
Synthesis
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Top 10 verification engineer interview questions and answers
Top 10 verification engineer interview questions and answersTop 10 verification engineer interview questions and answers
Top 10 verification engineer interview questions and answers
 
Physical design
Physical design Physical design
Physical design
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Digital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 unitsDigital logic circuits important question and answers for 5 units
Digital logic circuits important question and answers for 5 units
 

Similar to Major project iii 3

Verilog HDL Verification
Verilog HDL VerificationVerilog HDL Verification
Verilog HDL Verificationdennis gookyi
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...IJERA Editor
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysisUsha Mehta
 
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERSROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERSDeepak Shankar
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization FlowSatish Grandhi
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersIJMER
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
sta slide ref.pdf
sta slide ref.pdfsta slide ref.pdf
sta slide ref.pdfquandao25
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYIlango Jeyasubramanian
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Praveen Kumar
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 

Similar to Major project iii 3 (20)

file-3.ppt
file-3.pptfile-3.ppt
file-3.ppt
 
file-3.ppt
file-3.pptfile-3.ppt
file-3.ppt
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
Verilog HDL Verification
Verilog HDL VerificationVerilog HDL Verification
Verilog HDL Verification
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERSROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
Intro
IntroIntro
Intro
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature Registers
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
sta slide ref.pdf
sta slide ref.pdfsta slide ref.pdf
sta slide ref.pdf
 
Lecture-5-STA.pdf
Lecture-5-STA.pdfLecture-5-STA.pdf
Lecture-5-STA.pdf
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
 
Vlsi
VlsiVlsi
Vlsi
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 

Recently uploaded

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 

Recently uploaded (20)

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 

Major project iii 3

  • 1. Major Project Presentation On Hierarchical Timing Analysis Of VLSI Circuits Presented by:- Ashish Sharma M. Tech. (124601)- Part Time- 7th Semester Under the supervision of:- Mr. Sushobhit Singh Electrical Department, DEI, Agra 2015
  • 2. Outline  Introduction  Why Timing Analysis ?  Basic of Timing Analysis  Block diagram of Static Timing Analysis in Design Flow  Digital Circuit To Timing Model  Static Timing Analysis  Timing Paths  Block diagram of Hierarchical Timing Analysis  Applications  Conclusion  References
  • 3. Introduction  To ensure that a digital semiconductor design works as planned, design teams use static timing analysis to determine if the clocks and signals are correctly timed. Currently, static timing analysis is performed flat or hierarchically, mostly depending on the size of the design. Flat analysis provides the most accurate results, as it is completely transparent down to the logic cells, but it requires more memory and a significant amount of time to run because every cell and every wire in the design is analyzed. It’s no wonder that, as design sizes continue to grow, designers are looking to hierarchical methodologies to help alleviate huge runtimes.
  • 4. In static timing analysis, digital circuits are transformed into timing graph, and then the delays of the paths are verified with the corresponding timing constraints. Using a hierarchical approach, a designer selects and times certain blocks of logic, generating results more quickly and with fewer memory resources. These blocks are then modelled as timing abstractions at the top level of the design to reduce the overall amount of detailed analysis in the final stages. This hierarchical approach minimizes the time to get results. Introduction
  • 5. Why Timing Analysis?? There are a couple of reasons for performing timing analysis. We want to verify whether our circuit meet all of its timing requirements (Timing Constraints). There are 3 types of design constraints timing, power, area. During designing there is a tradeoffs Between speed, area, power, and runtime according to the constraints set by the designer. However, a chip must meet the timing constraints in order to operate at the intended clock rate, so timing is the most important design constraint.
  • 6. We want to make sure that circuit is properly designed and can work properly for all combinations of components over the entire specified operating environment. "Every Time". So, Timing analysis is the methodical analysis of a digital circuit to determine if the timing constraints imposed by components or interfaces are met. Typically, this means that you are trying to prove that all setup, hold, and pulse-width times are being met. Timing analysis is integral part of ASIC/VLSI design flow. Anything else can be compromised but not timing!
  • 7. Basic of Timing Analysis The basis of all timing analysis is the clock and the sequential component. Following are few of the things related to clock and flip flop which we usually wants to take care during Timing analysis. Clock related :-- It must be well understood parametrically and glitchfree. Timing analysis must ensure that any clocks that are generated by the logic are clean, are of bounded period and duty cycle, and of a known phase relationship to other clock signals of interest. The clock must, for both high and low phases, meet the minimum pulse width requirements. Certain circuits, such as PLLs, may have other requirements such as maximum jitter. As the clock speeds increase, jitter becomes an increasingly important parameter. When "passing" data from one clock edge to the other, ensure that the worstcase duty cycle is used for the calculation. A frequent source of error is the analyst assuming that every clock will have a 50% duty cycle.
  • 8. Basic of Timing Analysis Flip Flop related :-- All of the flip flops parameters are always met. The only exception to this is when synchronizers are used to synchronize asynchronous signals For asynchronous presets and clears, there are two basic parameters that must be met. All setup and hold times are met for the earliest/latest arrival times for the clock. Setup times are generally calculated by designers and suitable margins can be demonstrated under test. Hold times, however, are frequently not calculated by designers. When passing data from one clock domain to another, ensure that there is either known phase relationships which will guarantee meeting setup and hold times or that the circuits are properly synchronized
  • 9. Static Timing Analysis in Design Flow Static Timer is integrated in each stage. Need efficient static timer. Design Flow Floorplaning Synthesis Placement & Routing Static Timing Analysis
  • 10. Timing Analysis Dealing with False & Multi-cycle Paths  False Path:- A path which never be activated by any input vector.  Multi cycle Path:- A multi-cycle path is a path that signals propagate longer than one clock cycle.
  • 11. Digital Circuit To Timing Model  Figure---
  • 12. "Timing Paths" : Static Timing Analysis (STA) basic  Static Timing analysis is divided into several parts:--  Part1 > Timing Paths  Part2 >Time Borrowing  Part3a >Basic Concept Of Setup and Hold  Part3b >Basic Concept of Setup and Hold Violation  Part4a > Delay Timing Path Delay  Part4b > Delay Interconnect Delay Models  Part4c > Delay Wire Load Model  Part5a > Maximum Clock Frequency  Part 6a >Methods for Increase/Decrease the Delay of Circuit (Effect of Wire Length On the Slew)  Part 6b >Methods for Increase/Decrease the Delay of Circuit (Effect of Size of the Transistor On the Slew)  Part 6c >Methods for Increase/Decrease the Delay of Circuit (Effect of Threshold voltage On the Slew)  Part 7 >Ways to fix Setup and Hold Violation
  • 13. STA : Static Timing Analysis:  Static timing analysis is a method of validating the timing performance of a design by checking all possible paths for timing violations under worst-case conditions. It considers the worst possible delay through each logic element, but not the logical operation of the circuit. In comparison to circuit simulation, static timing analysis is  Faster:-- It is faster because it does not need to simulate multiple test vectors.  More Thorough-- It is more thorough because it checks the worst- case timing for all possible logic conditions, not just those sensitized by a particular set of test vectors.
  • 14. STA : Static Timing Analysis:  In static timing analysis, the word static alludes to the fact that this timing analysis is carried out in an input independent manner. It locates the worst-case delay of the circuit over all possible input combinations. There are huge numbers of logic paths inside a chip of complex design. The advantage of STA is that it performs timing analysis on all possible paths (whether they are real or potential false paths).  However, it is worth noting that STA is not suitable for all design styles. It has proven efficient only for fully synchronous designs. Since the majority of chip design is synchronous, it has become a mainstay of chip design over the last few decades.
  • 15. The Way STA is performed on a given Circuit: To check a design for violations or say to perform STA there are 3 main steps:  Design is broken down into sets of timing paths,  Calculates the signal propagation delay along each path  And checks for violations of timing constraints inside the design and at the input/output interface. The STA tool analyzes ALL paths from each and every start point to each and every endpoint and compares it against the constraint that (should) exist for that path. All paths should be constrained, most paths are constrained by the definition of the period of the clock, and the timing characteristics of the primary inputs and outputs of the circuit. Before we start all this we should know few key concepts in STA method: timing path, arrive time, required time, slack and critical path.
  • 16. Timing Paths:  Timing paths can be divided as per the type of signals (e.g clock signal, data signal etc).  Each Timing path has a "Start Point" and an "End Point". Definition of Start Point and End Point vary as per the type of the timing path. E.g for the Data path The Start point is a place in the design where data is launched by a clock edge. The data is propagated through combinational logic in the path and then captured at the endpoint by another clock edge.  Start Point and End Point are different for each type of paths. It's very important to understand this clearly to understand and analysing the Timing analysis report and fixing the timing violation.
  • 17. Types of Paths for Timing analysis  1. Data Path  2. Clock Path  3. Clock Gating Path  4. Asynchronous Path
  • 18. Timing Paths: 1. Data path Start Point  Input port of the design (because the input data can be launched from some external source).  Clock pin of the flipflop/ latch/memory (sequential cell) End Point  Data input pin of the flipflop/latch/memory (sequential cell)  Output port of the design (because the output data can be captured by some external sink)
  • 19. Timing Paths: 2. Clock Path Start Point  Clock input port End Point  Clock pin of the flip flop/ latch/memory (sequential cell) 3. Clock Gating Path  Start Point  Input port of the design  End Point  Input port of clock gating element.
  • 20. Timing Paths: 4. Asynchronous path  Start Point  Input Port of the design  End Point  Set/Reset/Clear pin of the flip flop/ latch/memory (sequential cell)
  • 21. 1.. Timing Paths: Data Paths:  If we use all the combination of 2 types of Starting Point and 2 types of End Point, we can say that there are 4 types of Timing Paths on the basis of Start and End point.  Input pin/port to Register(flipflop).  Input pin/port to Output pin/port.  Register (flipflop) to Register (flipflop)  Register (flipflop) to Output pin/port see the following figure:--  PATH1 starts at an input port and ends at the data input of a sequential element. (Input port to Register)  PATH2 starts at the clock pin of a sequential element and ends at the data input of a sequential element. (Register to Register)
  • 22. Timing Paths: Data Paths:  PATH3 starts at the clock pin of a sequential element and ends at an output port. (Register to Output port).  PATH4 starts at an input port and ends at an output port. (Input port to Output port)
  • 23. 2.. Timing Paths: Clock Path:  clock path the starts from the input port/pin of the design which is specific for the Clock input and the end point is the clock pin of a sequential element. In between the Start point and the end point there may be lots of Buffers/Inverters/clock divider.
  • 24. 3.. Timing Paths: Clock Gating Path:  Clock path may be passed trough a “gated element” to achieve additional advantages. In this case, characteristics and definitions of the clock change accordingly. We call this type of clock path as “gated clock path”. LD pin is not a part of any clock but it is using for gating the original CLK signal. Such type of paths are neither a part of Clock path nor of Data Path because as per the Start Point and End Point definition of these paths, its different. So such type of paths are part of Clock gating path.
  • 25. 4.. Timing Paths: Asynchronous path::  A path from an input port to an asynchronous set or clear pin of a sequential element. As you know that the functionality of set/reset pin is independent from the clock edge. Its level triggered pins and can start functioning at any time of data. So in other way we can say that this path is not in synchronous with the rest of the circuit and that's the reason we are saying such type of path an Asynchronous path.
  • 26. Timing Paths: Other types of Paths:  Critical path  False Path  Multi cycle path  Single Cycle path  Launch Path  Capture Path  Longest Path ( also know as Worst Path , Late Path , Max Path , Maximum Delay Path )  Shortest Path ( Also Know as Best Path , Early Path , Min Path, Minimum Delay Path)
  • 27. VLSI Circuit for STA Timing Analysis
  • 29. Hierarchical Timing Analysis A design is divided into multiple blocks & each block is characterized into a timing model. For linear delay model, the timing calculation can be separated according to the boundary of the partitions. gates Partition Design Into Multiple Blocks Characterize Blocks into Timing Models gates gates
  • 30. Applications  To ensure that a digital semiconductor design works as planned  To determine if the clocks and signals are correctly timed.  To help in component selection in vlsi design.
  • 31. Conclusion  The complexity of integrated circuits increases drastically as more functions are merged into one chip. In order to handle such design complexity, hierarchical design flows are adopted. Modules are implemented and verified separately; SoC designs are created from modules with abstract models to reduce the runtime of verification.  As a solution, statistical timing analysis is introduced to evaluate the timing performance of a circuit more accurately.  Timing performance determines the proper behaviour of a digital circuit. In order to reduce the runtime of the timing evaluation of such a circuit, static timing analysis is used. For flip-flop based circuits the delays between flip-flops can be computed by applying block-based or path-based timing analysis methods to the combinational circuits between all the flip-flops. The clock period of the circuit is determined by the resulting delays and the corresponding setup time and hold time constraints.
  • 32. References [1] Cadence incremental common timing engine, 2005. http://www.cadence.com/products/digital ic/gps.aspx [2] Synopsys static timing analysis, 2006. http://www.synopsys.com/products/analysis/ptsi ds.html. [3] K. P Belkhale and A. J. Suess. Timing analysis with known false sub graphs. In Proc. of the Intl. Conf. on Computer-Aided Design, pages 736–740, 1995 [4] J. Benkowski, E. Vanden Meersch, L. Claesen, and H. De Man. Efficient algorithms for solving the false path problem in timing verification. In Proc. of the Intl. Conf. on Computer-Aided Design, pages 44–47, 1987. [6] D. Blaauw, R. Panda, and A. Das. Removing user-specified false paths from timing graphs. In Proc. of the Design Automation Conf., pages 270–273, 2000. [7] H. C. Chen and D. H. C. Du. Path sensitization in critical path problem. In Proc. of the Intl. Conf. on Computer-Aided Design, pages 208–211, 1991.