SlideShare a Scribd company logo
1 of 43
BY UNSA SHAKIR
Multiplexers and
Demultiplexers
• Solve by using K-map.
• Design a circuit using logic function.
Exercise:
Exercise:
1. Implement the XOR function using only AND
and OR gates
2. Design a circuit using only truth tables and
logic function using AND, OR and NOT gates
Multiplexer
(DATA SELECTOR)
A multiplexers (MUX) is a device that allows
digital information from several sources to be
routed onto a single line for transmission over
that line to a common destination.
MUX Types
 2-to-1 (1 select line)
 4-to-1 (2 select lines)
 8-to-1 (3 select lines)
 16-to-1 (4 select lines)
Multiplexers
 A multiplexer has
 N control / select inputs
 2N
data inputs
 1 output
 Selection input (N) determines the input that
should be connected to the output
Functional Diagram Of a Multiplexer
2 : 1 Multiplexer
S
0
1
Z
I0
I1
Figure : Logic diagram of 2x1 mux Figure : Schematic symbol of 2x1 mux
4-to-1 Multiplexer (MUX)
S1 S0 O
0 0 I0
0 1 I1
1 0 I2
1 1 I3
MUX
I0
I1
I2
I3
O
S1 S0
8 : 1 Multiplexer
S0 S1 S3 Z
0 0 0 I0
0 0 1 I1
0 1 0 I2
0 1 1 I3
1 0 0 I4
1 0 1 I5
1 1 0 I6
1 1 1 I7
8 : 1 Multiplexer
ECE 331 - Digital System Design
Multiplexer (Bus)
Multiplexers
Exercise:
Design an 8-to-1 multiplexer using
• 4-to-1 multiplexer
• 2-to-1 multiplexer
8-to-1 multiplexer using
4-to-1 multiplexer
8-to-1 multiplexer using
2-to-1 multiplexer
8-to-1 multiplexer using
2-to-1 multiplexer
Multiplexers
Exercise:
Design a 16-to-1 multiplexer using
4-to-1 multiplexers only.
16-to-1 multiplexer using
4-to-1 multiplexers
• It's often desirable to add an enable input EN to a
multiplexer. An enable input makes the multiplexer operate.
• It is like an on switch of your computer, when you connect
the power supply to cpu it has provision to start functioning.
• A digital logic circuit may have more than 1 enable pins.
• When EN = 0, the output LOW (depending on the specific
device).
• When EN = 1, the multiplexer performs its operation
depending on the selection line.
Enable Input
Multiplexers as General Purpose Blocks
2 :1 multiplexer can implement any function of n variables
n-1 control variables; remaining variable is a data input to the mux
n-1
Example:
F(A,B,C) = m0 + m2 + m6 + m7
= A' B' C' + A' B C' + A B C' + A B C
= A' B' (C') + A' B (C') + A B' (0) + A B (1)
8:1
MUX
1
0
1
0
0
0
1
1
0
1
2
3
4
5
6
7 S2 S1 S0
A B C
F
"Lookup Table"
S1 S0
A B
4:1
MUX
0
1
2
3
C
C
0
1
F
A
0
0
0
0
1
1
1
1
B
0
0
1
1
0
0
1
1
C
0
1
0
1
0
1
0
1
F
1
0
1
0
0
0
1
1
C
C
0
1
Multiplexers
• Efficient implementation:
Implementation Of Logic Functions
using Multiplexer
A B C F
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 1
1 1 1 1
f(a, b, c) = a’b’c + ab
0
1
0
0
0
0
1
1
S2 S1 S0
A B C
F
0
1
2
3 8:1 MUX
4
5
6
7
A B C O
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 1
1 1 1 1
F
C
0
0
1
C
0
0
1
B C
S S1 0
F
0
1 4:1MUX
2
3
f(a, b, c) = a’b’c + ab
A B C D O
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 0
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
F
D
D
D’
0
0
D’
1
1
f(a, b, c) = F= A’B’C’D + A’B’CD + A’BC’D’ + AB’CD
+ ABC’D’ + ABC’D + ABCD’ +ABCD
D
0
1
A B C
S S S2 1 0
F
0
1
2
3 8:1 MUX
4
5
6
7
D’
Example
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Example
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
4-1 Multiplexer (SOP circuit)
f = s1 s0 w0 + s1 s0 w1 + s1 s0 w2 + s1 s0 w3
A B C F1 F2 F3 F4 F5 F6
0 0 0 0 0 1 1 0 0
0 0 1 0 1 0 1 1 1
0 1 0 0 1 0 1 1 1
0 1 1 0 1 0 1 0 0
1 0 0 0 1 0 1 1 1
1 0 1 0 1 0 1 0 0
1 1 0 0 1 0 1 0 0
1 1 1 1 1 0 0 1 1
A'B'C'
A'B'C
A'BC'
A'BC
AB'C'
AB'C
ABC'
ABC
A B C
F1 F2 F3 F4 F5
F6
full decoder as for memory address
bits stored in memory
Example
• Multiple functions of A, B, C
– F1 = A B C
– F2 = A + B + C
– F3 = A' B' C'
– F4 = A' + B' + C'
– F5 = A xor B xor C
– F6 = A xnor B xnor C
Truth Table for a 2-1 Multiplexer
Let’s Derive the SOP form
s x1 x2
s x1 x2
s x1 x2
s x1 x2
f (s, x1, x2) = s x1 x2 s x1 x2 s x1 x2 s x1 x2+ + +
Let’s simplify this expression
f (s, x1, x2) = s x1 x2 s x1 x2 s x1 x2 s x1 x2+ + +
f (s, x1, x2) = s x1 (x2 + x2) s (x1 +x1 )x2+ +
f (s, x1, x2) = s x1 s x2+
Circuit for 2-1 Multiplexer
f
x1
x2
s
f
s
x1
x2
0
1
(c) Graphical symbol(b) Circuit
f (s, x1, x2) = s x1 s x2+
Demultiplexers
(Data Distributor)
A DEMULTIPLEXER (DEMUX) basically
reverses the multiplexing function. It
takes data from one line and distributes
them to a given number of output lines.
Demultiplexers
 A demultiplexer has
 N control inputs
 1 data input
 2N
outputs
 A demultiplexer routes (or connects) the data input to
the selected output.
 The value of the control inputs determines the output
that is selected.
 A demultiplexer performs the opposite function of a
multiplexer.
Multiplexer/ Demultiplexer for
information transmission
Functional Diagram Of a
Demultiplexer
Demultiplexers
A B W X Y Z
0 0 I 0 0 0
0 1 0 I 0 0
1 0 0 0 I 0
1 1 0 0 0 I
W = A'.B'
X = A.B'
Y = A'.B
Z = A.B
Out0
In
S1 S0
I
W
X
Y
Z
A B
Out1
Out2
Out3
1 : 2 Demultiplexer
S0 Y0 Y1
0 D 0
1 0 D
1-to-4 De-Multiplexer (DEMUX)
B A D0 D1 D2 D3
0 0 X 0 0 0
0 1 0 X 0 0
1 0 0 0 X 0
1 1 0 0 0 X
D0
D1
D2
D3
X
B A
DEMUX
1 : 8 Demultiplexer
1 : 8 Demultiplexer (Truth Table)
S0 S1 S3 D0 D1 D2 D3 D4 D5 D6 D7
0 0 0 D 0 0 0 0 0 0 0
0 0 1 0 D 0 0 0 0 0 0
0 1 0 0 0 D 0 0 0 0 0
0 1 1 0 0 0 D 0 0 0 0
1 0 0 0 0 0 0 D 0 0 0
1 0 1 0 0 0 0 0 D 0 0
1 1 0 0 0 0 0 0 0 D 0
1 1 1 0 0 0 0 0 0 0 D
F1
F2
F3
Demultiplexers as General-purpose
Logic
• F1 = A' B C' D + A' B' C D + A B C D
• F2 = A B C' D’ + A B C
• F3 = (A' + B' + C' + D')
A B
0 A'B'C'D'
1 A'B'C'D
2 A'B'CD'
3 A'B'CD
4 A'BC'D'
5 A'BC'D
6 A'BCD'
7 A'BCD
8 AB'C'D'
9 AB'C'D
10 AB'CD'
11 AB'CD
12 ABC'D'
13 ABC'D
14 ABCD'
15 ABCD
4:16
DECEnable
C D

More Related Content

What's hot

What's hot (20)

Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
Introduction to Counters
Introduction to CountersIntroduction to Counters
Introduction to Counters
 
Design half ,full Adder and Subtractor
Design half ,full Adder and SubtractorDesign half ,full Adder and Subtractor
Design half ,full Adder and Subtractor
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
sequential circuits
sequential circuitssequential circuits
sequential circuits
 
flip flops
flip flops flip flops
flip flops
 
Counters
CountersCounters
Counters
 
Registers
RegistersRegisters
Registers
 
Subtractor
SubtractorSubtractor
Subtractor
 
Introduction to multiplexer and demultiplexer
Introduction to multiplexer and demultiplexerIntroduction to multiplexer and demultiplexer
Introduction to multiplexer and demultiplexer
 
Chapter 5: Cominational Logic with MSI and LSI
Chapter 5: Cominational Logic with MSI and LSIChapter 5: Cominational Logic with MSI and LSI
Chapter 5: Cominational Logic with MSI and LSI
 
Types of flip flops ppt
Types of flip flops pptTypes of flip flops ppt
Types of flip flops ppt
 
Demultiplexer
DemultiplexerDemultiplexer
Demultiplexer
 
SHIFT REGISTERS
SHIFT REGISTERSSHIFT REGISTERS
SHIFT REGISTERS
 
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
 
Decoders-Digital Electronics
Decoders-Digital ElectronicsDecoders-Digital Electronics
Decoders-Digital Electronics
 
Register in Digital Logic
Register in Digital LogicRegister in Digital Logic
Register in Digital Logic
 

Similar to multiplexers and demultiplexers

lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
J. Glory Precious
 
Logic Design - Chapter 5: Part1 Combinattional Logic
Logic Design - Chapter 5: Part1 Combinattional LogicLogic Design - Chapter 5: Part1 Combinattional Logic
Logic Design - Chapter 5: Part1 Combinattional Logic
Gouda Mando
 
Mux decod pld2_vs2
Mux decod pld2_vs2Mux decod pld2_vs2
Mux decod pld2_vs2
WanNurdiana
 
Computer organiztion3
Computer organiztion3Computer organiztion3
Computer organiztion3
Umang Gupta
 
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptxENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
Aishah928448
 
Computer Architecture 3rd Edition by Moris Mano CH 01-CH 02.ppt
Computer Architecture 3rd Edition by Moris Mano CH  01-CH 02.pptComputer Architecture 3rd Edition by Moris Mano CH  01-CH 02.ppt
Computer Architecture 3rd Edition by Moris Mano CH 01-CH 02.ppt
Howida Youssry
 

Similar to multiplexers and demultiplexers (20)

Digital electronics multiplexers demultiplexers
Digital electronics multiplexers demultiplexersDigital electronics multiplexers demultiplexers
Digital electronics multiplexers demultiplexers
 
lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
lec1bhdbvdbvfehbvufbvudbviudhvidhvudhuhdiufhduhduhdiuhudihuidhiu3pptsdsdefefe...
 
unit-6_combinational_jbiunkjnjbkjbjjcircuit-2.ppt
unit-6_combinational_jbiunkjnjbkjbjjcircuit-2.pptunit-6_combinational_jbiunkjnjbkjbjjcircuit-2.ppt
unit-6_combinational_jbiunkjnjbkjbjjcircuit-2.ppt
 
minimization technique.ppt
minimization technique.pptminimization technique.ppt
minimization technique.ppt
 
Logic Design - Chapter 5: Part1 Combinattional Logic
Logic Design - Chapter 5: Part1 Combinattional LogicLogic Design - Chapter 5: Part1 Combinattional Logic
Logic Design - Chapter 5: Part1 Combinattional Logic
 
3306565.ppt
3306565.ppt3306565.ppt
3306565.ppt
 
Digital VLSI - Unit 2.pptx
Digital VLSI - Unit 2.pptxDigital VLSI - Unit 2.pptx
Digital VLSI - Unit 2.pptx
 
Mux decod pld2_vs2
Mux decod pld2_vs2Mux decod pld2_vs2
Mux decod pld2_vs2
 
10 multiplexers-de mux
10 multiplexers-de mux10 multiplexers-de mux
10 multiplexers-de mux
 
Chapter-04.pdf
Chapter-04.pdfChapter-04.pdf
Chapter-04.pdf
 
Computer organiztion3
Computer organiztion3Computer organiztion3
Computer organiztion3
 
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptxENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
ENG 202 – Digital Electronics 1 - Chapter 4 (1).pptx
 
CH3_Gate Level Minimization.pdf
CH3_Gate Level Minimization.pdfCH3_Gate Level Minimization.pdf
CH3_Gate Level Minimization.pdf
 
Computer Architecture 3rd Edition by Moris Mano CH 01-CH 02.ppt
Computer Architecture 3rd Edition by Moris Mano CH  01-CH 02.pptComputer Architecture 3rd Edition by Moris Mano CH  01-CH 02.ppt
Computer Architecture 3rd Edition by Moris Mano CH 01-CH 02.ppt
 
Digital electronics Multiplexers & Demultiplexers
Digital electronics Multiplexers & DemultiplexersDigital electronics Multiplexers & Demultiplexers
Digital electronics Multiplexers & Demultiplexers
 
Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
 
15CS32 ADE Module 3
15CS32 ADE Module 315CS32 ADE Module 3
15CS32 ADE Module 3
 
Digital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptxDigital-Logic40124sequential circuits logic gatepptx
Digital-Logic40124sequential circuits logic gatepptx
 
Lecture-10.pptx
Lecture-10.pptxLecture-10.pptx
Lecture-10.pptx
 
4,encoder & decoder MUX and DEMUX EEng - Copy.pdf
4,encoder & decoder MUX and DEMUX EEng - Copy.pdf4,encoder & decoder MUX and DEMUX EEng - Copy.pdf
4,encoder & decoder MUX and DEMUX EEng - Copy.pdf
 

More from Unsa Shakir

More from Unsa Shakir (20)

Types of diode
Types of diodeTypes of diode
Types of diode
 
Transistor
TransistorTransistor
Transistor
 
Single diode circuits
Single diode circuitsSingle diode circuits
Single diode circuits
 
Silicon control rectifier
Silicon control rectifierSilicon control rectifier
Silicon control rectifier
 
Rectifiers
RectifiersRectifiers
Rectifiers
 
Operational amplifier
Operational amplifierOperational amplifier
Operational amplifier
 
Diode voltage multiplier
Diode voltage multiplierDiode voltage multiplier
Diode voltage multiplier
 
Types of transistors
Types of transistorsTypes of transistors
Types of transistors
 
Clipper and clamper circuits
Clipper and clamper circuitsClipper and clamper circuits
Clipper and clamper circuits
 
kinds of distribution
 kinds of distribution kinds of distribution
kinds of distribution
 
Probability of card
Probability of  cardProbability of  card
Probability of card
 
hypothesis test
 hypothesis test hypothesis test
hypothesis test
 
correlation and regression
correlation and regressioncorrelation and regression
correlation and regression
 
probability
probabilityprobability
probability
 
tree diagrams
 tree diagrams tree diagrams
tree diagrams
 
counting techniques
counting techniquescounting techniques
counting techniques
 
analytical representation of data
 analytical representation of data analytical representation of data
analytical representation of data
 
frequency distribution
 frequency distribution frequency distribution
frequency distribution
 
graphic representations in statistics
 graphic representations in statistics graphic representations in statistics
graphic representations in statistics
 
introduction to statistical theory
introduction to statistical theoryintroduction to statistical theory
introduction to statistical theory
 

Recently uploaded

Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
jaanualu31
 
Introduction to Robotics in Mechanical Engineering.pptx
Introduction to Robotics in Mechanical Engineering.pptxIntroduction to Robotics in Mechanical Engineering.pptx
Introduction to Robotics in Mechanical Engineering.pptx
hublikarsn
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
Kamal Acharya
 

Recently uploaded (20)

Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
8th International Conference on Soft Computing, Mathematics and Control (SMC ...
8th International Conference on Soft Computing, Mathematics and Control (SMC ...8th International Conference on Soft Computing, Mathematics and Control (SMC ...
8th International Conference on Soft Computing, Mathematics and Control (SMC ...
 
Convergence of Robotics and Gen AI offers excellent opportunities for Entrepr...
Convergence of Robotics and Gen AI offers excellent opportunities for Entrepr...Convergence of Robotics and Gen AI offers excellent opportunities for Entrepr...
Convergence of Robotics and Gen AI offers excellent opportunities for Entrepr...
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Introduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdfIntroduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdf
 
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
Memory Interfacing of 8086 with DMA 8257
Memory Interfacing of 8086 with DMA 8257Memory Interfacing of 8086 with DMA 8257
Memory Interfacing of 8086 with DMA 8257
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
UNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptxUNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptx
 
Introduction to Robotics in Mechanical Engineering.pptx
Introduction to Robotics in Mechanical Engineering.pptxIntroduction to Robotics in Mechanical Engineering.pptx
Introduction to Robotics in Mechanical Engineering.pptx
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Introduction to Geographic Information Systems
Introduction to Geographic Information SystemsIntroduction to Geographic Information Systems
Introduction to Geographic Information Systems
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
8086 Microprocessor Architecture: 16-bit microprocessor
8086 Microprocessor Architecture: 16-bit microprocessor8086 Microprocessor Architecture: 16-bit microprocessor
8086 Microprocessor Architecture: 16-bit microprocessor
 
Worksharing and 3D Modeling with Revit.pptx
Worksharing and 3D Modeling with Revit.pptxWorksharing and 3D Modeling with Revit.pptx
Worksharing and 3D Modeling with Revit.pptx
 

multiplexers and demultiplexers

  • 1. BY UNSA SHAKIR Multiplexers and Demultiplexers
  • 2. • Solve by using K-map. • Design a circuit using logic function. Exercise:
  • 3. Exercise: 1. Implement the XOR function using only AND and OR gates 2. Design a circuit using only truth tables and logic function using AND, OR and NOT gates
  • 4. Multiplexer (DATA SELECTOR) A multiplexers (MUX) is a device that allows digital information from several sources to be routed onto a single line for transmission over that line to a common destination. MUX Types  2-to-1 (1 select line)  4-to-1 (2 select lines)  8-to-1 (3 select lines)  16-to-1 (4 select lines)
  • 5. Multiplexers  A multiplexer has  N control / select inputs  2N data inputs  1 output  Selection input (N) determines the input that should be connected to the output
  • 6. Functional Diagram Of a Multiplexer
  • 7. 2 : 1 Multiplexer S 0 1 Z I0 I1 Figure : Logic diagram of 2x1 mux Figure : Schematic symbol of 2x1 mux
  • 8. 4-to-1 Multiplexer (MUX) S1 S0 O 0 0 I0 0 1 I1 1 0 I2 1 1 I3 MUX I0 I1 I2 I3 O S1 S0
  • 9. 8 : 1 Multiplexer S0 S1 S3 Z 0 0 0 I0 0 0 1 I1 0 1 0 I2 0 1 1 I3 1 0 0 I4 1 0 1 I5 1 1 0 I6 1 1 1 I7
  • 10. 8 : 1 Multiplexer
  • 11. ECE 331 - Digital System Design Multiplexer (Bus)
  • 12. Multiplexers Exercise: Design an 8-to-1 multiplexer using • 4-to-1 multiplexer • 2-to-1 multiplexer
  • 16. Multiplexers Exercise: Design a 16-to-1 multiplexer using 4-to-1 multiplexers only.
  • 18. • It's often desirable to add an enable input EN to a multiplexer. An enable input makes the multiplexer operate. • It is like an on switch of your computer, when you connect the power supply to cpu it has provision to start functioning. • A digital logic circuit may have more than 1 enable pins. • When EN = 0, the output LOW (depending on the specific device). • When EN = 1, the multiplexer performs its operation depending on the selection line. Enable Input
  • 19.
  • 20.
  • 21. Multiplexers as General Purpose Blocks 2 :1 multiplexer can implement any function of n variables n-1 control variables; remaining variable is a data input to the mux n-1 Example: F(A,B,C) = m0 + m2 + m6 + m7 = A' B' C' + A' B C' + A B C' + A B C = A' B' (C') + A' B (C') + A B' (0) + A B (1) 8:1 MUX 1 0 1 0 0 0 1 1 0 1 2 3 4 5 6 7 S2 S1 S0 A B C F "Lookup Table" S1 S0 A B 4:1 MUX 0 1 2 3 C C 0 1 F A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 F 1 0 1 0 0 0 1 1 C C 0 1
  • 23. Implementation Of Logic Functions using Multiplexer A B C F 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 f(a, b, c) = a’b’c + ab 0 1 0 0 0 0 1 1 S2 S1 S0 A B C F 0 1 2 3 8:1 MUX 4 5 6 7
  • 24. A B C O 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 F C 0 0 1 C 0 0 1 B C S S1 0 F 0 1 4:1MUX 2 3 f(a, b, c) = a’b’c + ab
  • 25. A B C D O 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 0 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 F D D D’ 0 0 D’ 1 1 f(a, b, c) = F= A’B’C’D + A’B’CD + A’BC’D’ + AB’CD + ABC’D’ + ABC’D + ABCD’ +ABCD D 0 1 A B C S S S2 1 0 F 0 1 2 3 8:1 MUX 4 5 6 7 D’
  • 26. Example 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1
  • 27. Example 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1
  • 28. 4-1 Multiplexer (SOP circuit) f = s1 s0 w0 + s1 s0 w1 + s1 s0 w2 + s1 s0 w3
  • 29. A B C F1 F2 F3 F4 F5 F6 0 0 0 0 0 1 1 0 0 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 1 0 1 0 1 0 0 1 0 0 0 1 0 1 1 1 1 0 1 0 1 0 1 0 0 1 1 0 0 1 0 1 0 0 1 1 1 1 1 0 0 1 1 A'B'C' A'B'C A'BC' A'BC AB'C' AB'C ABC' ABC A B C F1 F2 F3 F4 F5 F6 full decoder as for memory address bits stored in memory Example • Multiple functions of A, B, C – F1 = A B C – F2 = A + B + C – F3 = A' B' C' – F4 = A' + B' + C' – F5 = A xor B xor C – F6 = A xnor B xnor C
  • 30. Truth Table for a 2-1 Multiplexer
  • 31. Let’s Derive the SOP form s x1 x2 s x1 x2 s x1 x2 s x1 x2 f (s, x1, x2) = s x1 x2 s x1 x2 s x1 x2 s x1 x2+ + +
  • 32. Let’s simplify this expression f (s, x1, x2) = s x1 x2 s x1 x2 s x1 x2 s x1 x2+ + + f (s, x1, x2) = s x1 (x2 + x2) s (x1 +x1 )x2+ + f (s, x1, x2) = s x1 s x2+
  • 33. Circuit for 2-1 Multiplexer f x1 x2 s f s x1 x2 0 1 (c) Graphical symbol(b) Circuit f (s, x1, x2) = s x1 s x2+
  • 34. Demultiplexers (Data Distributor) A DEMULTIPLEXER (DEMUX) basically reverses the multiplexing function. It takes data from one line and distributes them to a given number of output lines.
  • 35. Demultiplexers  A demultiplexer has  N control inputs  1 data input  2N outputs  A demultiplexer routes (or connects) the data input to the selected output.  The value of the control inputs determines the output that is selected.  A demultiplexer performs the opposite function of a multiplexer.
  • 37. Functional Diagram Of a Demultiplexer
  • 38. Demultiplexers A B W X Y Z 0 0 I 0 0 0 0 1 0 I 0 0 1 0 0 0 I 0 1 1 0 0 0 I W = A'.B' X = A.B' Y = A'.B Z = A.B Out0 In S1 S0 I W X Y Z A B Out1 Out2 Out3
  • 39. 1 : 2 Demultiplexer S0 Y0 Y1 0 D 0 1 0 D
  • 40. 1-to-4 De-Multiplexer (DEMUX) B A D0 D1 D2 D3 0 0 X 0 0 0 0 1 0 X 0 0 1 0 0 0 X 0 1 1 0 0 0 X D0 D1 D2 D3 X B A DEMUX
  • 41. 1 : 8 Demultiplexer
  • 42. 1 : 8 Demultiplexer (Truth Table) S0 S1 S3 D0 D1 D2 D3 D4 D5 D6 D7 0 0 0 D 0 0 0 0 0 0 0 0 0 1 0 D 0 0 0 0 0 0 0 1 0 0 0 D 0 0 0 0 0 0 1 1 0 0 0 D 0 0 0 0 1 0 0 0 0 0 0 D 0 0 0 1 0 1 0 0 0 0 0 D 0 0 1 1 0 0 0 0 0 0 0 D 0 1 1 1 0 0 0 0 0 0 0 D
  • 43. F1 F2 F3 Demultiplexers as General-purpose Logic • F1 = A' B C' D + A' B' C D + A B C D • F2 = A B C' D’ + A B C • F3 = (A' + B' + C' + D') A B 0 A'B'C'D' 1 A'B'C'D 2 A'B'CD' 3 A'B'CD 4 A'BC'D' 5 A'BC'D 6 A'BCD' 7 A'BCD 8 AB'C'D' 9 AB'C'D 10 AB'CD' 11 AB'CD 12 ABC'D' 13 ABC'D 14 ABCD' 15 ABCD 4:16 DECEnable C D