SlideShare a Scribd company logo
1 of 76
Parallel Processing
Multiple Processor Organization
• Single instruction, single data stream - SISD
• Single instruction, multiple data stream - SIMD
• Multiple instruction, single data stream - MISD
• Multiple instruction, multiple data stream- MIMD
Single Instruction, Single Data Stream -
SISD
• Single processor
• Single instruction stream
• Data stored in single memory
• Uni-processor
Single Instruction, Multiple Data Stream -
SIMD
• Single machine instruction
• Controls simultaneous execution
• Number of processing elements
• Lockstep basis
• Each processing element has associated data memory
• Each instruction executed on different set of data by different
processors
• Vector and array processors
Multiple Instruction, Single Data Stream -
MISD
• Sequence of data
• Transmitted to set of processors
• Each processor executes different instruction sequence
• Never been implemented
Multiple Instruction, Multiple Data
Stream- MIMD
• Set of processors
• Simultaneously execute different instruction sequences
• Different sets of data
• SMPs, clusters and NUMA systems
Taxonomy of Parallel Processor
Architectures
MIMD - Overview
• General purpose processors
• Each can process all instructions necessary
• Further classified by method of processor communication
Tightly Coupled - SMP
• Processors share memory
• Communicate via that shared memory
• Symmetric Multiprocessor (SMP)
• Share single memory or pool
• Shared bus to access memory
• Memory access time to given area of memory is approximately the same for
each processor
Tightly Coupled - NUMA
• Nonuniform memory access
• Access times to different regions of memroy may differ
Loosely Coupled - Clusters
• Collection of independent uniprocessors or SMPs
• Interconnected to form a cluster
• Communication via fixed path or network connections
Parallel Organizations - SISD
Parallel Organizations - SIMD
Parallel Organizations - MIMD Shared
Memory
Parallel Organizations - MIMD
Distributed Memory
Symmetric Multiprocessors
• A stand alone computer with the following characteristics
• Two or more similar processors of comparable capacity
• Processors share same memory and I/O
• Processors are connected by a bus or other internal connection
• Memory access time is approximately the same for each processor
• All processors share access to I/O
• Either through same channels or different channels giving paths to same
devices
• All processors can perform the same functions (hence symmetric)
• System controlled by integrated operating system
• providing interaction between processors
• Interaction at job, task, file and data element levels
Multiprogramming and Multiprocessing
SMP Advantages
• Performance
• If some work can be done in parallel
• Availability
• Since all processors can perform the same functions, failure of a single
processor does not halt the system
• Incremental growth
• User can enhance performance by adding additional processors
• Scaling
• Vendors can offer range of products based on number of processors
Block Diagram of Tightly Coupled
Multiprocessor
Organization Classification
• Time shared or common bus
• Multiport memory
• Central control unit
Time Shared Bus
• Simplest form
• Structure and interface similar to single processor system
• Following features provided
• Addressing - distinguish modules on bus
• Arbitration - any module can be temporary master
• Time sharing - if one module has the bus, others must wait and may have to
suspend
• Now have multiple processors as well as multiple I/O modules
Symmetric Multiprocessor Organization
Time Share Bus - Advantages
• Simplicity
• Flexibility
• Reliability
Time Share Bus - Disadvantage
• Performance limited by bus cycle time
• Each processor should have local cache
• Reduce number of bus accesses
• Leads to problems with cache coherence
• Solved in hardware - see later
Operating System Issues
• Simultaneous concurrent processes
• Scheduling
• Synchronization
• Memory management
• Reliability and fault tolerance
A Mainframe SMP
IBM zSeries
• Uniprocessor with one main memory card to a high-end system with 48 processors and 8 memory cards
• Dual-core processor chip
• Each includes two identical central processors (CPs)
• CISC superscalar microprocessor
• Mostly hardwired, some vertical microcode
• 256-kB L1 instruction cache and a 256-kB L1 data cache
• L2 cache 32 MB
• Clusters of five
• Each cluster supports eight processors and access to entire main memory space
• System control element (SCE)
• Arbitrates system communication
• Maintains cache coherence
• Main store control (MSC)
• Interconnect L2 caches and main memory
• Memory card
• Each 32 GB, Maximum 8 , total of 256 GB
• Interconnect to MSC via synchronous memory interfaces (SMIs)
• Memory bus adapter (MBA)
• Interface to I/O channels, go directly to L2 cache
IBM z990
Multiprocessor
Structure
Cache Coherence and
MESI Protocol
• Problem - multiple copies of same data in different caches
• Can result in an inconsistent view of memory
• Write back policy can lead to inconsistency
• Write through can also give problems unless caches monitor memory
traffic
Software Solutions
• Compiler and operating system deal with problem
• Overhead transferred to compile time
• Design complexity transferred from hardware to software
• However, software tends to make conservative decisions
• Inefficient cache utilization
• Analyze code to determine safe periods for caching shared variables
Hardware Solution
• Cache coherence protocols
• Dynamic recognition of potential problems
• Run time
• More efficient use of cache
• Transparent to programmer
• Directory protocols
• Snoopy protocols
Directory Protocols
• Collect and maintain information about copies of data in cache
• Directory stored in main memory
• Requests are checked against directory
• Appropriate transfers are performed
• Creates central bottleneck
• Effective in large scale systems with complex interconnection
schemes
Snoopy Protocols
• Distribute cache coherence responsibility among cache controllers
• Cache recognizes that a line is shared
• Updates announced to other caches
• Suited to bus based multiprocessor
• Increases bus traffic
Write Invalidate
• Multiple readers, one writer
• When a write is required, all other caches of the line are invalidated
• Writing processor then has exclusive (cheap) access until line
required by another processor
• Used in Pentium II and PowerPC systems
• State of every line is marked as modified, exclusive, shared or invalid
• MESI
Write Update
• Multiple readers and writers
• Updated word is distributed to all other processors
• Some systems use an adaptive mixture of both solutions
MESI State Transition Diagram
Increasing Performance
• Processor performance can be measured by the rate at which it
executes instructions
• MIPS rate = f * IPC
• f processor clock frequency, in MHz
• IPC is average instructions per cycle
• Increase performance by increasing clock frequency and increasing
instructions that complete during cycle
• May be reaching limit
• Complexity
• Power consumption
Multithreading and Chip Multiprocessors
• Instruction stream divided into smaller streams (threads)
• Executed in parallel
• Wide variety of multithreading designs
Definitions of Threads and Processes
• Thread in multithreaded processors may or may not be same as
software threads
• Process:
• An instance of program running on computer
• Resource ownership
• Virtual address space to hold process image
• Scheduling/execution
• Process switch
• Thread: dispatchable unit of work within process
• Includes processor context (which includes the program counter and
stack pointer) and data area for stack
• Thread executes sequentially
• Interruptible: processor can turn to another thread
• Thread switch
• Switching processor between threads within same process
• Typically less costly than process switch
Implicit and Explicit Multithreading
• All commercial processors and most experimental ones use explicit
multithreading
• Concurrently execute instructions from different explicit threads
• Interleave instructions from different threads on shared pipelines or parallel
execution on parallel pipelines
• Implicit multithreading is concurrent execution of multiple threads
extracted from single sequential program
• Implicit threads defined statically by compiler or dynamically by hardware
Approaches to Explicit Multithreading
• Interleaved
• Fine-grained
• Processor deals with two or more thread contexts at a time
• Switching thread at each clock cycle
• If thread is blocked it is skipped
• Blocked
• Coarse-grained
• Thread executed until event causes delay
• E.g.Cache miss
• Effective on in-order processor
• Avoids pipeline stall
• Simultaneous (SMT)
• Instructions simultaneously issued from multiple threads to execution units of
superscalar processor
• Chip multiprocessing
• Processor is replicated on a single chip
• Each processor handles separate threads
Scalar Processor Approaches
• Single-threaded scalar
• Simple pipeline
• No multithreading
• Interleaved multithreaded scalar
• Easiest multithreading to implement
• Switch threads at each clock cycle
• Pipeline stages kept close to fully occupied
• Hardware needs to switch thread context between cycles
• Blocked multithreaded scalar
• Thread executed until latency event occurs
• Would stop pipeline
• Processor switches to another thread
Scalar Diagrams
Multiple Instruction Issue Processors (1)• Superscalar
• No multithreading
• Interleaved multithreading superscalar:
• Each cycle, as many instructions as possible issued from single thread
• Delays due to thread switches eliminated
• Number of instructions issued in cycle limited by dependencies
• Blocked multithreaded superscalar
• Instructions from one thread
• Blocked multithreading used
Multiple Instruction Issue Diagram (1)
Multiple Instruction Issue Processors (2)
• Very long instruction word (VLIW)
• E.g. IA-64
• Multiple instructions in single word
• Typically constructed by compiler
• Operations that may be executed in parallel in same word
• May pad with no-ops
• Interleaved multithreading VLIW
• Similar efficiencies to interleaved multithreading on superscalar architecture
• Blocked multithreaded VLIW
• Similar efficiencies to blocked multithreading on superscalar architecture
Multiple Instruction Issue Diagram (2)
Parallel, Simultaneous
Execution of Multiple Threads
• Simultaneous multithreading
• Issue multiple instructions at a time
• One thread may fill all horizontal slots
• Instructions from two or more threads may be issued
• With enough threads, can issue maximum number of instructions on each
cycle
• Chip multiprocessor
• Multiple processors
• Each has two-issue superscalar processor
• Each processor is assigned thread
• Can issue up to two instructions per cycle per thread
Parallel Diagram
Examples
• Some Pentium 4
• Intel calls it hyperthreading
• SMT with support for two threads
• Single multithreaded processor, logically two processors
• IBM Power5
• High-end PowerPC
• Combines chip multiprocessing with SMT
• Chip has two separate processors
• Each supporting two threads concurrently using SMT
Power5 Instruction Data Flow
Clusters
• Alternative to SMP
• High performance
• High availability
• Server applications
• A group of interconnected whole computers
• Working together as unified resource
• Illusion of being one machine
• Each computer called a node
Cluster Benefits
• Absolute scalability
• Incremental scalability
• High availability
• Superior price/performance
Cluster Configurations - Standby Server,
No Shared Disk
Cluster Configurations -
Shared Disk
Operating Systems Design Issues
• Failure Management
• High availability
• Fault tolerant
• Failover
• Switching applications & data from failed system to alternative within
cluster
• Failback
• Restoration of applications and data to original system
• After problem is fixed
• Load balancing
• Incremental scalability
• Automatically include new computers in scheduling
• Middleware needs to recognise that processes may switch between
machines
Parallelizing
• Single application executing in parallel on a number of machines in
cluster
• Complier
• Determines at compile time which parts can be executed in parallel
• Split off for different computers
• Application
• Application written from scratch to be parallel
• Message passing to move data between nodes
• Hard to program
• Best end result
• Parametric computing
• If a problem is repeated execution of algorithm on different sets of data
• e.g. simulation using different scenarios
• Needs effective tools to organize and run
Cluster Computer Architecture
Cluster Middleware
• Unified image to user
• Single system image
• Single point of entry
• Single file hierarchy
• Single control point
• Single virtual networking
• Single memory space
• Single job management system
• Single user interface
• Single I/O space
• Single process space
• Checkpointing
• Process migration
Blade Servers
• Common implementation of cluster
• Server houses multiple server modules (blades) in single chassis
• Save space
• Improve system management
• Chassis provides power supply
• Each blade has processor, memory, disk
Example 100-Gbps Ethernet Configuration for Massive
Blade Server Site
Cluster v. SMP
• Both provide multiprocessor support to high demand applications.
• Both available commercially
• SMP for longer
• SMP:
• Easier to manage and control
• Closer to single processor systems
• Scheduling is main difference
• Less physical space
• Lower power consumption
• Clustering:
• Superior incremental & absolute scalability
• Superior availability
• Redundancy
Nonuniform Memory Access (NUMA)
• Alternative to SMP & clustering
• Uniform memory access
• All processors have access to all parts of memory
• Using load & store
• Access time to all regions of memory is the same
• Access time to memory for different processors same
• As used by SMP
• Nonuniform memory access
• All processors have access to all parts of memory
• Using load & store
• Access time of processor differs depending on region of memory
• Different processors access different regions of memory at different speeds
• Cache coherent NUMA
• Cache coherence is maintained among the caches of the various processors
• Significantly different from SMP and clusters
Motivation
• SMP has practical limit to number of processors
• Bus traffic limits to between 16 and 64 processors
• In clusters each node has own memory
• Apps do not see large global memory
• Coherence maintained by software not hardware
• NUMA retains SMP flavour while giving large scale
multiprocessing
• e.g. Silicon Graphics Origin NUMA 1024 MIPS R10000 processors
• Objective is to maintain transparent system wide memory
while permitting multiprocessor nodes, each with own bus
or internal interconnection system
CC-NUMA Organization
CC-NUMA Operation
• Each processor has own L1 and L2 cache
• Each node has own main memory
• Nodes connected by some networking facility
• Each processor sees single addressable memory space
• Memory request order:
• L1 cache (local to processor)
• L2 cache (local to processor)
• Main memory (local to node)
• Remote memory
• Delivered to requesting (local to processor) cache
• Automatic and transparent
Memory Access Sequence
• Each node maintains directory of location of portions of
memory and cache status
• e.g. node 2 processor 3 (P2-3) requests location 798 which is
in memory of node 1
• P2-3 issues read request on snoopy bus of node 2
• Directory on node 2 recognises location is on node 1
• Node 2 directory requests node 1’s directory
• Node 1 directory requests contents of 798
• Node 1 memory puts data on (node 1 local) bus
• Node 1 directory gets data from (node 1 local) bus
• Data transferred to node 2’s directory
• Node 2 directory puts data on (node 2 local) bus
• Data picked up, put in P2-3’s cache and delivered to processor
Cache Coherence
• Node 1 directory keeps note that node 2 has copy of data
• If data modified in cache, this is broadcast to other nodes
• Local directories monitor and purge local cache if necessary
• Local directory monitors changes to local data in remote caches and
marks memory invalid until writeback
• Local directory forces writeback if memory location requested by
another processor
NUMA Pros & Cons
• Effective performance at higher levels of parallelism than SMP
• No major software changes
• Performance can breakdown if too much access to remote
memory
• Can be avoided by:
• L1 & L2 cache design reducing all memory access
• Need good temporal locality of software
• Good spatial locality of software
• Virtual memory management moving pages to nodes that are using them
most
• Not transparent
• Page allocation, process allocation and load balancing changes needed
• Availability?
Vector Computation
• Maths problems involving physical processes present different difficulties for
computation
• Aerodynamics, seismology, meteorology
• Continuous field simulation
• High precision
• Repeated floating point calculations on large arrays of numbers
• Supercomputers handle these types of problem
• Hundreds of millions of flops
• $10-15 million
• Optimised for calculation rather than multitasking and I/O
• Limited market
• Research, government agencies, meteorology
• Array processor
• Alternative to supercomputer
• Configured as peripherals to mainframe & mini
• Just run vector portion of problems
Vector Addition Example
Approaches
• General purpose computers rely on iteration to do vector
calculations
• In example this needs six calculations
• Vector processing
• Assume possible to operate on one-dimensional vector of data
• All elements in a particular row can be calculated in parallel
• Parallel processing
• Independent processors functioning in parallel
• Use FORK N to start individual process at location N
• JOIN N causes N independent processes to join and merge following
JOIN
• O/S Co-ordinates JOINs
• Execution is blocked until all N processes have reached JOIN
Processor Designs
• Pipelined ALU
• Within operations
• Across operations
• Parallel ALUs
• Parallel processors
Approaches to
Vector
Computation
Chaining
• Cray Supercomputers
• Vector operation may start as soon as first element of operand
vector available and functional unit is free
• Result from one functional unit is fed immediately into another
• If vector registers used, intermediate results do not have to be
stored in memory
Computer Organizations
IBM 3090 with Vector Facility

More Related Content

What's hot

Inter Process Communication Presentation[1]
Inter Process Communication Presentation[1]Inter Process Communication Presentation[1]
Inter Process Communication Presentation[1]Ravindra Raju Kolahalam
 
Chapter 12 - Mass Storage Systems
Chapter 12 - Mass Storage SystemsChapter 12 - Mass Storage Systems
Chapter 12 - Mass Storage SystemsWayne Jones Jnr
 
Operating system memory management
Operating system memory managementOperating system memory management
Operating system memory managementrprajat007
 
Multiprocessor
MultiprocessorMultiprocessor
MultiprocessorNeel Patel
 
multiprocessors and multicomputers
 multiprocessors and multicomputers multiprocessors and multicomputers
multiprocessors and multicomputersPankaj Kumar Jain
 
Parallel processing (simd and mimd)
Parallel processing (simd and mimd)Parallel processing (simd and mimd)
Parallel processing (simd and mimd)Bhavik Vashi
 
Instruction Set Architecture (ISA)
Instruction Set Architecture (ISA)Instruction Set Architecture (ISA)
Instruction Set Architecture (ISA)Gaditek
 
Lecture 1 introduction to parallel and distributed computing
Lecture 1   introduction to parallel and distributed computingLecture 1   introduction to parallel and distributed computing
Lecture 1 introduction to parallel and distributed computingVajira Thambawita
 
Pipelining and vector processing
Pipelining and vector processingPipelining and vector processing
Pipelining and vector processingKamal Acharya
 
11. Storage and File Structure in DBMS
11. Storage and File Structure in DBMS11. Storage and File Structure in DBMS
11. Storage and File Structure in DBMSkoolkampus
 
Computer architecture multi processor
Computer architecture multi processorComputer architecture multi processor
Computer architecture multi processorMazin Alwaaly
 
Memory organization in computer architecture
Memory organization in computer architectureMemory organization in computer architecture
Memory organization in computer architectureFaisal Hussain
 
Memory organization (Computer architecture)
Memory organization (Computer architecture)Memory organization (Computer architecture)
Memory organization (Computer architecture)Sandesh Jonchhe
 
Computer organization memory
Computer organization memoryComputer organization memory
Computer organization memoryDeepak John
 

What's hot (20)

Inter Process Communication Presentation[1]
Inter Process Communication Presentation[1]Inter Process Communication Presentation[1]
Inter Process Communication Presentation[1]
 
Parallelism
ParallelismParallelism
Parallelism
 
Chapter 12 - Mass Storage Systems
Chapter 12 - Mass Storage SystemsChapter 12 - Mass Storage Systems
Chapter 12 - Mass Storage Systems
 
Parallel processing Concepts
Parallel processing ConceptsParallel processing Concepts
Parallel processing Concepts
 
Operating system memory management
Operating system memory managementOperating system memory management
Operating system memory management
 
Multiprocessor
MultiprocessorMultiprocessor
Multiprocessor
 
memory hierarchy
memory hierarchymemory hierarchy
memory hierarchy
 
multiprocessors and multicomputers
 multiprocessors and multicomputers multiprocessors and multicomputers
multiprocessors and multicomputers
 
Superscalar Processor
Superscalar ProcessorSuperscalar Processor
Superscalar Processor
 
DMA operation
DMA operationDMA operation
DMA operation
 
Parallel processing (simd and mimd)
Parallel processing (simd and mimd)Parallel processing (simd and mimd)
Parallel processing (simd and mimd)
 
Instruction Set Architecture (ISA)
Instruction Set Architecture (ISA)Instruction Set Architecture (ISA)
Instruction Set Architecture (ISA)
 
Lecture 1 introduction to parallel and distributed computing
Lecture 1   introduction to parallel and distributed computingLecture 1   introduction to parallel and distributed computing
Lecture 1 introduction to parallel and distributed computing
 
Pipelining and vector processing
Pipelining and vector processingPipelining and vector processing
Pipelining and vector processing
 
11. Storage and File Structure in DBMS
11. Storage and File Structure in DBMS11. Storage and File Structure in DBMS
11. Storage and File Structure in DBMS
 
Computer architecture multi processor
Computer architecture multi processorComputer architecture multi processor
Computer architecture multi processor
 
Memory organization in computer architecture
Memory organization in computer architectureMemory organization in computer architecture
Memory organization in computer architecture
 
Memory management
Memory managementMemory management
Memory management
 
Memory organization (Computer architecture)
Memory organization (Computer architecture)Memory organization (Computer architecture)
Memory organization (Computer architecture)
 
Computer organization memory
Computer organization memoryComputer organization memory
Computer organization memory
 

Viewers also liked

Highly Surmountable Challenges in Ruby+OMR JIT Compilation
Highly Surmountable Challenges in Ruby+OMR JIT CompilationHighly Surmountable Challenges in Ruby+OMR JIT Compilation
Highly Surmountable Challenges in Ruby+OMR JIT CompilationMatthew Gaudet
 
Introduction to parallel processing
Introduction to parallel processingIntroduction to parallel processing
Introduction to parallel processingPage Maker
 
Applications of paralleL processing
Applications of paralleL processingApplications of paralleL processing
Applications of paralleL processingPage Maker
 
Parallel computing
Parallel computingParallel computing
Parallel computingVinay Gupta
 
Precessor organization
Precessor organizationPrecessor organization
Precessor organizationAsif Iqbal
 
Parallel computing
Parallel computingParallel computing
Parallel computingvirend111
 
FOSDEM2016 - Ruby and OMR
FOSDEM2016 - Ruby and OMRFOSDEM2016 - Ruby and OMR
FOSDEM2016 - Ruby and OMRCharlie Gracie
 
Embarrassingly Parallel Computation for Occlusion Culling
Embarrassingly Parallel Computation for Occlusion CullingEmbarrassingly Parallel Computation for Occlusion Culling
Embarrassingly Parallel Computation for Occlusion Cullingjasinb
 
Introduction To Parallel Computing
Introduction To Parallel ComputingIntroduction To Parallel Computing
Introduction To Parallel ComputingJörn Dinkla
 
Higher nab preparation
Higher nab preparationHigher nab preparation
Higher nab preparationscaddell
 
Introduction to parallel and distributed computation with spark
Introduction to parallel and distributed computation with sparkIntroduction to parallel and distributed computation with spark
Introduction to parallel and distributed computation with sparkAngelo Leto
 
Introduction to Parallel Computing
Introduction to Parallel ComputingIntroduction to Parallel Computing
Introduction to Parallel ComputingRoshan Karunarathna
 
Letia Monique Payton (1)
Letia Monique Payton (1)Letia Monique Payton (1)
Letia Monique Payton (1)Letia Payton
 

Viewers also liked (20)

Highly Surmountable Challenges in Ruby+OMR JIT Compilation
Highly Surmountable Challenges in Ruby+OMR JIT CompilationHighly Surmountable Challenges in Ruby+OMR JIT Compilation
Highly Surmountable Challenges in Ruby+OMR JIT Compilation
 
Introduction to parallel processing
Introduction to parallel processingIntroduction to parallel processing
Introduction to parallel processing
 
Parallel Computing
Parallel ComputingParallel Computing
Parallel Computing
 
Applications of paralleL processing
Applications of paralleL processingApplications of paralleL processing
Applications of paralleL processing
 
Parallel computing
Parallel computingParallel computing
Parallel computing
 
pipelining
pipeliningpipelining
pipelining
 
Parallel Processing
Parallel ProcessingParallel Processing
Parallel Processing
 
Precessor organization
Precessor organizationPrecessor organization
Precessor organization
 
Parallel computing
Parallel computingParallel computing
Parallel computing
 
Array Processor
Array ProcessorArray Processor
Array Processor
 
Parallel processing extra
Parallel processing extraParallel processing extra
Parallel processing extra
 
pipelining
pipeliningpipelining
pipelining
 
FOSDEM2016 - Ruby and OMR
FOSDEM2016 - Ruby and OMRFOSDEM2016 - Ruby and OMR
FOSDEM2016 - Ruby and OMR
 
Embarrassingly Parallel Computation for Occlusion Culling
Embarrassingly Parallel Computation for Occlusion CullingEmbarrassingly Parallel Computation for Occlusion Culling
Embarrassingly Parallel Computation for Occlusion Culling
 
Introduction To Parallel Computing
Introduction To Parallel ComputingIntroduction To Parallel Computing
Introduction To Parallel Computing
 
Higher nab preparation
Higher nab preparationHigher nab preparation
Higher nab preparation
 
Introduction to parallel and distributed computation with spark
Introduction to parallel and distributed computation with sparkIntroduction to parallel and distributed computation with spark
Introduction to parallel and distributed computation with spark
 
Introduction to Parallel Computing
Introduction to Parallel ComputingIntroduction to Parallel Computing
Introduction to Parallel Computing
 
Modulo 9
Modulo 9Modulo 9
Modulo 9
 
Letia Monique Payton (1)
Letia Monique Payton (1)Letia Monique Payton (1)
Letia Monique Payton (1)
 

Similar to Parallel processing

Similar to Parallel processing (20)

parallel-processing.ppt
parallel-processing.pptparallel-processing.ppt
parallel-processing.ppt
 
18 parallel processing
18 parallel processing18 parallel processing
18 parallel processing
 
Parallel processing
Parallel processingParallel processing
Parallel processing
 
Week 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptxWeek 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptx
 
parallel processing.ppt
parallel processing.pptparallel processing.ppt
parallel processing.ppt
 
chapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptchapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).ppt
 
OS_MD_4.pdf
OS_MD_4.pdfOS_MD_4.pdf
OS_MD_4.pdf
 
Lecture4
Lecture4Lecture4
Lecture4
 
Multiprocessor_YChen.ppt
Multiprocessor_YChen.pptMultiprocessor_YChen.ppt
Multiprocessor_YChen.ppt
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
Multithreaded processors ppt
Multithreaded processors pptMultithreaded processors ppt
Multithreaded processors ppt
 
Multicore processor.pdf
Multicore processor.pdfMulticore processor.pdf
Multicore processor.pdf
 
High performance computing
High performance computingHigh performance computing
High performance computing
 
OS multiprocessing -.pptx
OS multiprocessing -.pptxOS multiprocessing -.pptx
OS multiprocessing -.pptx
 
Multiprocessor.pptx
 Multiprocessor.pptx Multiprocessor.pptx
Multiprocessor.pptx
 
Parallel Processors (SIMD)
Parallel Processors (SIMD) Parallel Processors (SIMD)
Parallel Processors (SIMD)
 
Parallel Processors (SIMD)
Parallel Processors (SIMD) Parallel Processors (SIMD)
Parallel Processors (SIMD)
 
22CS201 COA
22CS201 COA22CS201 COA
22CS201 COA
 
Smp and asmp architecture.
Smp and asmp architecture.Smp and asmp architecture.
Smp and asmp architecture.
 

More from Syed Zaid Irshad

More from Syed Zaid Irshad (20)

Operating System.pdf
Operating System.pdfOperating System.pdf
Operating System.pdf
 
DBMS_Lab_Manual_&_Solution
DBMS_Lab_Manual_&_SolutionDBMS_Lab_Manual_&_Solution
DBMS_Lab_Manual_&_Solution
 
Data Structure and Algorithms.pptx
Data Structure and Algorithms.pptxData Structure and Algorithms.pptx
Data Structure and Algorithms.pptx
 
Design and Analysis of Algorithms.pptx
Design and Analysis of Algorithms.pptxDesign and Analysis of Algorithms.pptx
Design and Analysis of Algorithms.pptx
 
Professional Issues in Computing
Professional Issues in ComputingProfessional Issues in Computing
Professional Issues in Computing
 
Reduce course notes class xi
Reduce course notes class xiReduce course notes class xi
Reduce course notes class xi
 
Reduce course notes class xii
Reduce course notes class xiiReduce course notes class xii
Reduce course notes class xii
 
Introduction to Database
Introduction to DatabaseIntroduction to Database
Introduction to Database
 
C Language
C LanguageC Language
C Language
 
Flowchart
FlowchartFlowchart
Flowchart
 
Algorithm Pseudo
Algorithm PseudoAlgorithm Pseudo
Algorithm Pseudo
 
Computer Programming
Computer ProgrammingComputer Programming
Computer Programming
 
ICS 2nd Year Book Introduction
ICS 2nd Year Book IntroductionICS 2nd Year Book Introduction
ICS 2nd Year Book Introduction
 
Security, Copyright and the Law
Security, Copyright and the LawSecurity, Copyright and the Law
Security, Copyright and the Law
 
Computer Architecture
Computer ArchitectureComputer Architecture
Computer Architecture
 
Data Communication
Data CommunicationData Communication
Data Communication
 
Information Networks
Information NetworksInformation Networks
Information Networks
 
Basic Concept of Information Technology
Basic Concept of Information TechnologyBasic Concept of Information Technology
Basic Concept of Information Technology
 
Introduction to ICS 1st Year Book
Introduction to ICS 1st Year BookIntroduction to ICS 1st Year Book
Introduction to ICS 1st Year Book
 
Using the set operators
Using the set operatorsUsing the set operators
Using the set operators
 

Recently uploaded

Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIkoyaldeepu123
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixingviprabot1
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 

Recently uploaded (20)

Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AI
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixing
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 

Parallel processing

  • 2. Multiple Processor Organization • Single instruction, single data stream - SISD • Single instruction, multiple data stream - SIMD • Multiple instruction, single data stream - MISD • Multiple instruction, multiple data stream- MIMD
  • 3. Single Instruction, Single Data Stream - SISD • Single processor • Single instruction stream • Data stored in single memory • Uni-processor
  • 4. Single Instruction, Multiple Data Stream - SIMD • Single machine instruction • Controls simultaneous execution • Number of processing elements • Lockstep basis • Each processing element has associated data memory • Each instruction executed on different set of data by different processors • Vector and array processors
  • 5. Multiple Instruction, Single Data Stream - MISD • Sequence of data • Transmitted to set of processors • Each processor executes different instruction sequence • Never been implemented
  • 6. Multiple Instruction, Multiple Data Stream- MIMD • Set of processors • Simultaneously execute different instruction sequences • Different sets of data • SMPs, clusters and NUMA systems
  • 7. Taxonomy of Parallel Processor Architectures
  • 8. MIMD - Overview • General purpose processors • Each can process all instructions necessary • Further classified by method of processor communication
  • 9. Tightly Coupled - SMP • Processors share memory • Communicate via that shared memory • Symmetric Multiprocessor (SMP) • Share single memory or pool • Shared bus to access memory • Memory access time to given area of memory is approximately the same for each processor
  • 10. Tightly Coupled - NUMA • Nonuniform memory access • Access times to different regions of memroy may differ
  • 11. Loosely Coupled - Clusters • Collection of independent uniprocessors or SMPs • Interconnected to form a cluster • Communication via fixed path or network connections
  • 14. Parallel Organizations - MIMD Shared Memory
  • 15. Parallel Organizations - MIMD Distributed Memory
  • 16. Symmetric Multiprocessors • A stand alone computer with the following characteristics • Two or more similar processors of comparable capacity • Processors share same memory and I/O • Processors are connected by a bus or other internal connection • Memory access time is approximately the same for each processor • All processors share access to I/O • Either through same channels or different channels giving paths to same devices • All processors can perform the same functions (hence symmetric) • System controlled by integrated operating system • providing interaction between processors • Interaction at job, task, file and data element levels
  • 18. SMP Advantages • Performance • If some work can be done in parallel • Availability • Since all processors can perform the same functions, failure of a single processor does not halt the system • Incremental growth • User can enhance performance by adding additional processors • Scaling • Vendors can offer range of products based on number of processors
  • 19. Block Diagram of Tightly Coupled Multiprocessor
  • 20. Organization Classification • Time shared or common bus • Multiport memory • Central control unit
  • 21. Time Shared Bus • Simplest form • Structure and interface similar to single processor system • Following features provided • Addressing - distinguish modules on bus • Arbitration - any module can be temporary master • Time sharing - if one module has the bus, others must wait and may have to suspend • Now have multiple processors as well as multiple I/O modules
  • 23. Time Share Bus - Advantages • Simplicity • Flexibility • Reliability
  • 24. Time Share Bus - Disadvantage • Performance limited by bus cycle time • Each processor should have local cache • Reduce number of bus accesses • Leads to problems with cache coherence • Solved in hardware - see later
  • 25. Operating System Issues • Simultaneous concurrent processes • Scheduling • Synchronization • Memory management • Reliability and fault tolerance
  • 26. A Mainframe SMP IBM zSeries • Uniprocessor with one main memory card to a high-end system with 48 processors and 8 memory cards • Dual-core processor chip • Each includes two identical central processors (CPs) • CISC superscalar microprocessor • Mostly hardwired, some vertical microcode • 256-kB L1 instruction cache and a 256-kB L1 data cache • L2 cache 32 MB • Clusters of five • Each cluster supports eight processors and access to entire main memory space • System control element (SCE) • Arbitrates system communication • Maintains cache coherence • Main store control (MSC) • Interconnect L2 caches and main memory • Memory card • Each 32 GB, Maximum 8 , total of 256 GB • Interconnect to MSC via synchronous memory interfaces (SMIs) • Memory bus adapter (MBA) • Interface to I/O channels, go directly to L2 cache
  • 28. Cache Coherence and MESI Protocol • Problem - multiple copies of same data in different caches • Can result in an inconsistent view of memory • Write back policy can lead to inconsistency • Write through can also give problems unless caches monitor memory traffic
  • 29. Software Solutions • Compiler and operating system deal with problem • Overhead transferred to compile time • Design complexity transferred from hardware to software • However, software tends to make conservative decisions • Inefficient cache utilization • Analyze code to determine safe periods for caching shared variables
  • 30. Hardware Solution • Cache coherence protocols • Dynamic recognition of potential problems • Run time • More efficient use of cache • Transparent to programmer • Directory protocols • Snoopy protocols
  • 31. Directory Protocols • Collect and maintain information about copies of data in cache • Directory stored in main memory • Requests are checked against directory • Appropriate transfers are performed • Creates central bottleneck • Effective in large scale systems with complex interconnection schemes
  • 32. Snoopy Protocols • Distribute cache coherence responsibility among cache controllers • Cache recognizes that a line is shared • Updates announced to other caches • Suited to bus based multiprocessor • Increases bus traffic
  • 33. Write Invalidate • Multiple readers, one writer • When a write is required, all other caches of the line are invalidated • Writing processor then has exclusive (cheap) access until line required by another processor • Used in Pentium II and PowerPC systems • State of every line is marked as modified, exclusive, shared or invalid • MESI
  • 34. Write Update • Multiple readers and writers • Updated word is distributed to all other processors • Some systems use an adaptive mixture of both solutions
  • 36. Increasing Performance • Processor performance can be measured by the rate at which it executes instructions • MIPS rate = f * IPC • f processor clock frequency, in MHz • IPC is average instructions per cycle • Increase performance by increasing clock frequency and increasing instructions that complete during cycle • May be reaching limit • Complexity • Power consumption
  • 37. Multithreading and Chip Multiprocessors • Instruction stream divided into smaller streams (threads) • Executed in parallel • Wide variety of multithreading designs
  • 38. Definitions of Threads and Processes • Thread in multithreaded processors may or may not be same as software threads • Process: • An instance of program running on computer • Resource ownership • Virtual address space to hold process image • Scheduling/execution • Process switch • Thread: dispatchable unit of work within process • Includes processor context (which includes the program counter and stack pointer) and data area for stack • Thread executes sequentially • Interruptible: processor can turn to another thread • Thread switch • Switching processor between threads within same process • Typically less costly than process switch
  • 39. Implicit and Explicit Multithreading • All commercial processors and most experimental ones use explicit multithreading • Concurrently execute instructions from different explicit threads • Interleave instructions from different threads on shared pipelines or parallel execution on parallel pipelines • Implicit multithreading is concurrent execution of multiple threads extracted from single sequential program • Implicit threads defined statically by compiler or dynamically by hardware
  • 40. Approaches to Explicit Multithreading • Interleaved • Fine-grained • Processor deals with two or more thread contexts at a time • Switching thread at each clock cycle • If thread is blocked it is skipped • Blocked • Coarse-grained • Thread executed until event causes delay • E.g.Cache miss • Effective on in-order processor • Avoids pipeline stall • Simultaneous (SMT) • Instructions simultaneously issued from multiple threads to execution units of superscalar processor • Chip multiprocessing • Processor is replicated on a single chip • Each processor handles separate threads
  • 41. Scalar Processor Approaches • Single-threaded scalar • Simple pipeline • No multithreading • Interleaved multithreaded scalar • Easiest multithreading to implement • Switch threads at each clock cycle • Pipeline stages kept close to fully occupied • Hardware needs to switch thread context between cycles • Blocked multithreaded scalar • Thread executed until latency event occurs • Would stop pipeline • Processor switches to another thread
  • 43. Multiple Instruction Issue Processors (1)• Superscalar • No multithreading • Interleaved multithreading superscalar: • Each cycle, as many instructions as possible issued from single thread • Delays due to thread switches eliminated • Number of instructions issued in cycle limited by dependencies • Blocked multithreaded superscalar • Instructions from one thread • Blocked multithreading used
  • 45. Multiple Instruction Issue Processors (2) • Very long instruction word (VLIW) • E.g. IA-64 • Multiple instructions in single word • Typically constructed by compiler • Operations that may be executed in parallel in same word • May pad with no-ops • Interleaved multithreading VLIW • Similar efficiencies to interleaved multithreading on superscalar architecture • Blocked multithreaded VLIW • Similar efficiencies to blocked multithreading on superscalar architecture
  • 47. Parallel, Simultaneous Execution of Multiple Threads • Simultaneous multithreading • Issue multiple instructions at a time • One thread may fill all horizontal slots • Instructions from two or more threads may be issued • With enough threads, can issue maximum number of instructions on each cycle • Chip multiprocessor • Multiple processors • Each has two-issue superscalar processor • Each processor is assigned thread • Can issue up to two instructions per cycle per thread
  • 49. Examples • Some Pentium 4 • Intel calls it hyperthreading • SMT with support for two threads • Single multithreaded processor, logically two processors • IBM Power5 • High-end PowerPC • Combines chip multiprocessing with SMT • Chip has two separate processors • Each supporting two threads concurrently using SMT
  • 51. Clusters • Alternative to SMP • High performance • High availability • Server applications • A group of interconnected whole computers • Working together as unified resource • Illusion of being one machine • Each computer called a node
  • 52. Cluster Benefits • Absolute scalability • Incremental scalability • High availability • Superior price/performance
  • 53. Cluster Configurations - Standby Server, No Shared Disk
  • 55. Operating Systems Design Issues • Failure Management • High availability • Fault tolerant • Failover • Switching applications & data from failed system to alternative within cluster • Failback • Restoration of applications and data to original system • After problem is fixed • Load balancing • Incremental scalability • Automatically include new computers in scheduling • Middleware needs to recognise that processes may switch between machines
  • 56. Parallelizing • Single application executing in parallel on a number of machines in cluster • Complier • Determines at compile time which parts can be executed in parallel • Split off for different computers • Application • Application written from scratch to be parallel • Message passing to move data between nodes • Hard to program • Best end result • Parametric computing • If a problem is repeated execution of algorithm on different sets of data • e.g. simulation using different scenarios • Needs effective tools to organize and run
  • 58. Cluster Middleware • Unified image to user • Single system image • Single point of entry • Single file hierarchy • Single control point • Single virtual networking • Single memory space • Single job management system • Single user interface • Single I/O space • Single process space • Checkpointing • Process migration
  • 59. Blade Servers • Common implementation of cluster • Server houses multiple server modules (blades) in single chassis • Save space • Improve system management • Chassis provides power supply • Each blade has processor, memory, disk
  • 60. Example 100-Gbps Ethernet Configuration for Massive Blade Server Site
  • 61. Cluster v. SMP • Both provide multiprocessor support to high demand applications. • Both available commercially • SMP for longer • SMP: • Easier to manage and control • Closer to single processor systems • Scheduling is main difference • Less physical space • Lower power consumption • Clustering: • Superior incremental & absolute scalability • Superior availability • Redundancy
  • 62. Nonuniform Memory Access (NUMA) • Alternative to SMP & clustering • Uniform memory access • All processors have access to all parts of memory • Using load & store • Access time to all regions of memory is the same • Access time to memory for different processors same • As used by SMP • Nonuniform memory access • All processors have access to all parts of memory • Using load & store • Access time of processor differs depending on region of memory • Different processors access different regions of memory at different speeds • Cache coherent NUMA • Cache coherence is maintained among the caches of the various processors • Significantly different from SMP and clusters
  • 63. Motivation • SMP has practical limit to number of processors • Bus traffic limits to between 16 and 64 processors • In clusters each node has own memory • Apps do not see large global memory • Coherence maintained by software not hardware • NUMA retains SMP flavour while giving large scale multiprocessing • e.g. Silicon Graphics Origin NUMA 1024 MIPS R10000 processors • Objective is to maintain transparent system wide memory while permitting multiprocessor nodes, each with own bus or internal interconnection system
  • 65. CC-NUMA Operation • Each processor has own L1 and L2 cache • Each node has own main memory • Nodes connected by some networking facility • Each processor sees single addressable memory space • Memory request order: • L1 cache (local to processor) • L2 cache (local to processor) • Main memory (local to node) • Remote memory • Delivered to requesting (local to processor) cache • Automatic and transparent
  • 66. Memory Access Sequence • Each node maintains directory of location of portions of memory and cache status • e.g. node 2 processor 3 (P2-3) requests location 798 which is in memory of node 1 • P2-3 issues read request on snoopy bus of node 2 • Directory on node 2 recognises location is on node 1 • Node 2 directory requests node 1’s directory • Node 1 directory requests contents of 798 • Node 1 memory puts data on (node 1 local) bus • Node 1 directory gets data from (node 1 local) bus • Data transferred to node 2’s directory • Node 2 directory puts data on (node 2 local) bus • Data picked up, put in P2-3’s cache and delivered to processor
  • 67. Cache Coherence • Node 1 directory keeps note that node 2 has copy of data • If data modified in cache, this is broadcast to other nodes • Local directories monitor and purge local cache if necessary • Local directory monitors changes to local data in remote caches and marks memory invalid until writeback • Local directory forces writeback if memory location requested by another processor
  • 68. NUMA Pros & Cons • Effective performance at higher levels of parallelism than SMP • No major software changes • Performance can breakdown if too much access to remote memory • Can be avoided by: • L1 & L2 cache design reducing all memory access • Need good temporal locality of software • Good spatial locality of software • Virtual memory management moving pages to nodes that are using them most • Not transparent • Page allocation, process allocation and load balancing changes needed • Availability?
  • 69. Vector Computation • Maths problems involving physical processes present different difficulties for computation • Aerodynamics, seismology, meteorology • Continuous field simulation • High precision • Repeated floating point calculations on large arrays of numbers • Supercomputers handle these types of problem • Hundreds of millions of flops • $10-15 million • Optimised for calculation rather than multitasking and I/O • Limited market • Research, government agencies, meteorology • Array processor • Alternative to supercomputer • Configured as peripherals to mainframe & mini • Just run vector portion of problems
  • 71. Approaches • General purpose computers rely on iteration to do vector calculations • In example this needs six calculations • Vector processing • Assume possible to operate on one-dimensional vector of data • All elements in a particular row can be calculated in parallel • Parallel processing • Independent processors functioning in parallel • Use FORK N to start individual process at location N • JOIN N causes N independent processes to join and merge following JOIN • O/S Co-ordinates JOINs • Execution is blocked until all N processes have reached JOIN
  • 72. Processor Designs • Pipelined ALU • Within operations • Across operations • Parallel ALUs • Parallel processors
  • 74. Chaining • Cray Supercomputers • Vector operation may start as soon as first element of operand vector available and functional unit is free • Result from one functional unit is fed immediately into another • If vector registers used, intermediate results do not have to be stored in memory
  • 76. IBM 3090 with Vector Facility