SlideShare a Scribd company logo
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 448
Netlist Optimization for CMOS Place and Route in MICROWIND
Mahesh G. Jaiswal1, Varsha Bendre2, Vinay Sharma3
1PG Scholar, Dept. of ME VLSI & Embedded, PCCOE Pune, Maharashtra, India
2Assistant Professor, Dept. of ME VLSI & Embedded, PCCOE Pune, Maharashtra, India
3Technical Director, Ni Logic Pvt. Ltd. Pune, Maharashtra, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract - Microwind is a software tool which is used for
CMOS IC layout design. The gate level design is created in
schematic editor and simulator tool DSCH. The transistor size
in the last few decades is shrinking drastically with the rapid
advancement of VLSI technology to integrate more numberof
transistors on a single IC chip. This will indirectly affect the
complexity in the placement and routing of the logic devices.
And for any tool it is always a challenging task to make an
efficient placement and routing of the cells. One of the EDA
tool for CMOS design is MICROWIND, which uses a verilog net
list generated by a schematic editor DSCH. This paper focuses
on improvement in Microwind tool by implementing a logic
optimization method forrearrangingverilog netlistaccording
to interconnect wire nodes and numberoflogiccellslikeCMOS
inverter, AND, OR etc. gates using MOS at 120nm technology
and using FINFETs at 14nm technology. Our algorithm will
rearrange net list and cells without reducing the actual
transistors count. Therefore only the total numbers of metal
layers, area of chip and interconnect delay etc. will get
reduced to produce an optimum design.
Key Words: Area, DSCH, Frontend-Backend design, Logic
Synthesis, MICROWIND, Net list, Optimize, Placement,
Routing, etc.
1. INTRODUCTION
VLSI is the process of combining thousands of transistors
into single IntegratedCircuit (IC)chip.Interconnectingwires
length increases with the increase in transistors in the
circuit. It is difficult to reduce the capacitive and resistive
effects which have an impact on time delay. The
interconnecting wires also havefixedarea andwidthmaking
length as the only controllable parameter. Due to this there
is a need of optimization techniques in VLSI design. In a
Hardware Description Language like Verilog or VHDL, a net
list is a description of all the devices or gates and all of the
connections or wires between each device. In its simplest
form, a net list consists of a list of the terminals (i.e. "pins")
of the electronic components in a circuit and a list of the
electrical conductors thatinterconnecttheterminals.A netis
a conductor that interconnects two or more component
terminals. [6]
The objective of this paper is to develop an optimization
logic that will automaticallyrearrangetheverilognetlistand
create an efficient layout of the design in Microwind
program. For the simulation purpose and observing the
result of net list optimization logic, DSCH and MICROWIND
tool is required. The basic idea is to optimize the net list
generated after successful design of any analog, digital or
sequential circuit in schematic editor tool i.e. DSCH.
According to that net list a layout is generated in
MICROWIND tool which should be as much efficient to
design a chip. This must be optimized in concern with area,
interconnect, costing, metal routing etc.
To design an IC, VLSI IC design flow must be followed by the
designer. The ASIC design flow is as shown in fig. 1. This
design flow is mainly divided intotwopartsi.e.Frontendand
Backend. This fig 1 shows few steps of the ASIC design. This
paper introduces an optimization logic which must be
applied in between Gate Level Net list and Floor planning
step. A gate-level net list is a description of the circuit in
terms of gates and connections between them, which are
made in such a way that they meet the timing and power
requirements. The actual placement of all logic cells is done
in the way of gate level net list is arranged. Here in
MICROWIND, a verilog net list decides the floor planning,
placement of cells and their input-output routing.
Fig 1: VLSI IC Design Flow
After compiling the verilog net list in MICROWIND, the floor
planning, Partitioning, Placementandroutingstepsaredone
at the backend to produce a CMOS layout. Placement of cells
and routing within the cells is becoming a difficult task for
the designer as number of small sized transistors increases.
To make this backend process as well as CMOS layout more
efficient, optimization logic must be applied before the floor
planning and placement steps. For physical layout structure
and time optimization the various techniques like mapping,
cell sizing, buffering and logic restructuring etc. can be
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 449
applied. This paper uses one of them i.e. logic restructuring
technique.
2. TOOLS AND PLATFORM
2.1 MICROWIND
The MICROWIND software allows the designer to
simulate and design an integrated circuit at physical
description level. Born in Toulouse (France),MICROWINDis
an innovative CMOS design tool for educational market.
MICROWIND is developed as comprehensive package on
windows platform to enable students to learn smart design
methods and techniques with more practice. With inbuilt
layout editing tools, mix-signal simulator,MOScharacteristic
viewer and more, it allows studentstolearncomplete design
process with ease. MICROWIND unifies schematic entry,
pattern based simulator, SPICE extraction of schematic,
Verilog extractor, layout compilation, on layout mix-signal
circuit simulation, cross sectional & 3D viewer, net list
extraction, BSIM4 tutorial on MOS devices and sign-off
correlation to deliver unmatched design performance and
productivity.
With its approachforCMOS designeducation,MICROWIND
has gained lot followers worldwide. Universities across the
globe are using MICROWIND for budding engineers to teach
CMOS concepts with ease. Paving their path for more skilled
software’s to be used at later stage of their course work. [5]
2.2 DSCH
DSCH stands for Design Schematic Editor Tool. The DSCH
program is logic editor and simulator. DSCH is used to
validate the architecture of the logic circuit before the
microelectronics design is started. DSCH provides a user
friendly environment for hierarchical logic design and fast
simulation with delay analysis, which allows the design and
validation of complex logic structures. DSCH also features
the symbols, models and assembly support for 8051 &
16F84 controllers. Designers can create logic circuits for
interfacing with these controllers and verify software
programs using DSCH. [5]
2.3 PASCAL
Pascal is an imperative and procedural programming
language, which is designed as a small, efficient language
using structured programming and data structuring. A
derivative known as Object Pascal designed for object-
oriented programming. Pascal has introduced concepts and
mechanisms which enabled programmers to define their
own complex data types, and also made it easier to build
dynamic and recursive data structures such as lists, trees
and graphs. Important features included for this were
records, enumerations, sub-ranges, dynamically allocated
variables with associated pointers, and sets. To make this
possible and meaningful, Pascal has a strong typing on all
objects, which means that one type of data cannot be
converted or interpreted as another without explicit
conversions [7]. We are using this scripting language as
platform for implementation of the optimization logic.
3. METHODOLOGY
Fig 2: Flow Chart
Next step includes an optimization logic which is now
implemented in MICROWIND Tool. Optimization logic will
contain rearrangement of net list in two ways first is
rearrange net list by wires and second is rearrange by logic
cells i.e. gates. The algorithm of optimization logic is as
follows:-
Firstly it takes original verilog net list from DSCH design
and then extracts interconnect wire nodes from net list as it
contains actual input-output information. Once all wire
nodes are extracted, we will calculate the fan-out of each
gate that means occurrence of each wire node. Then in next
step select the node with the highest occurrence first and
locate its locations in net list and simultaneously place all
those lines one after another to form an optimized netlistby
wires. This in turn will minimize total interconnectlengthas
all gates with same wire node in their input or output will be
placed together and also will keep more interconnect wires
in same layer to minimize the total area.
Now the same optimization logic can be used torearrange
the net list by gates. Only the difference is that database
contains all logic cells instead of interconnect wires that can
be used in any design of DSCH and MICROWIND. This logic
will bring same type of all logic cells together to form an
optimized net list by gates. The advantage of this type of
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 450
rearrangement is that while observing the layout, an IC
designer can easily distinguish between numbers of logic
cells present in the layout.
Once the optimized net list by wire is generated then next
step is to compile and generate its layout in MICROWIND
software. If designer found that the layout generated is not
much optimized then he can go back to rearrange net list
stage and select rearrange by gate option to generate gate
optimized net list. Again designer will compile and generate
layout. By the practical observations it is found that 90%
examples of MICROWIND are successfullyoptimizing bythis
logic.
4. RESULTS & DISCUSSION
By using the methodology as described in above section, we
have tested it for few examples and the results are as shown
below-
Fig 3: Four_Bit_ADC (Original Layout- with 6 layers in
upper side)
Fig 4(a): Optimized layout using WIRE rearranging logic-
contains only 4 metal layers in upper side
Fig 4(b): Optimized layout using GATE rearranging logic-
contains 5 metal layers in upper side.
Fig 3 shows the original layout of a four bit ADC which
contains total 6 layers in upper side of the PMOS and NMOS
cells. After applying the optimization logic we can observe
that the number of layers is minimized. As shown in fig 4 (a)
when we apply the wire optimization logic layers are
minimized to 4 and when we apply gate optimization logic
the total number of layers are minimized to 5.
Table I: Optimization Results of Few More Examples
Circuits
Before
Optimization
After Optimization
By Wire By Gate
Area
(µm2)
Metal
Layers
(Nos.)
Area
(µm2)
Metal
Layers
(Nos.)
Area
(µm2)
Metal
Layers
(Nos.)
Full
Adder
205.7 5 183.4 3 183.4 3
ADC
(4 Bit) 198.3 6 171.8 4 180.7 5
Counter(4
Bit)
601.6 5 528.7 3 601.6 5
In similar way we have tested few more examples and
observed the changes in number of metal layers, total area
and interconnect length which ismentionedinabovetable 1.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 451
5. CONCLUSION
The verilog optimization logic ofrearrangementof netlistby
wire and gate is working with the most of the examples
tested by MICROWINDsoftware.Theresultsobservedshows
a drastic change in placement of cells and their interconnect
routing. Eventually that will also optimize the total area and
interconnect delay to increase the speed ofoperationaswell
as to improve the performance. This logic will be added in
the upcoming version of MICROWIND software tool for
better understanding of the CMOS IC layout designing
concepts. The same algorithm of optimizing verilog net list
can be applied to other educational software or EDA tools
which uses the verilog net list for placement and routing.
ACKNOWLEDGEMENT
I would like to thank Ni Logic Pvt. Ltd. (Ni2Designs)Punefor
giving me such a nice opportunity and for their continuous
technical support. Also, I would like to thanks PCCOE Pune
for allowing me to do internship for my PG project. I will
sincerely thank to Prof. Etienne Sicard, Department of
Electrical & Computer Engineering INSA – University of
Toulouse for their valuable guidance. At last but not the
least, I am thankful to my parents, who encouraged and
inspired me with their blessings.
REFERENCES
1. M. Marek-Sadowska, "Automated Routing for VLSI:
Kuh's Group Contributions," in IEEE Circuits and
Systems Magazine, vol. 16, no. 2, pp. 35-49,
Secondquarter 2016. doi:
10.1109/MCAS.2016.2549948
2. S. Manna, T. Chakrabarti, U. Sharma and S. K. Sarkar,
"Efficient VLSI routing optimization employing discrete
differential evolution technique," Recent Trends in
Information Systems (ReTIS), 2015 IEEE 2nd
International Conference on, Kolkata,2015,pp.461-464
doi: 10.1109/ReTIS.2015.7232923
3. Etienne Sicard ,Syed Mahfuzul Aziz ,Sonia Ben Dhia
“Effective Teaching of the Physical Design of Integrated
Circuits Using Educational Tools”, IEEETRANSACTIONS
ON EDUCATION, VOL. 53, NO. 4, NOVEMBER 2010
4. www.academia.edu
5. www.microwind.net
6. www.wikipedia.org
7.https://en.wikipedia.org/wiki/Pascal_(programming_lang
uage)
BIOGRAPHIES
Mahesh G. Jaiswal PG Scholar in VLSI & Embedded
systems, from Pimpri Chinchwad College of
Engineering, Pune University, India. He has
completed BE in Electronics and
Telecommunications from Government College of
Engineering & Research Pune, India in 2015. He is
currently working with Ni Logic Pvt. Ltd Pune as
software developer. His main research area of
interest includes ASIC design, VLSI Chip design and
EDA tool development.
Varsha Bendre is working as an Assistant Professor
at Department of E&TC, Pimpri ChinchwadCollegeof
Engineering, Pune, affiliated to Savitribai Phule Pune
University, Pune, India. She received her B.E. degree
from Amravati University and M.E. degree from
University of Pune in 2000 and 2010 respectively.
Her research interest includes VLSI Design.
Technology, Microelectronics, Low Power analog
VLSI Design and Signal Processing.
Vinay Sharma is currently working as technical
director at Ni Logic Pvt. Ltd. Pune, India since last 10
years. He has completed his M. Tech. in Digital
Systems from College of Engineering Pune, India.
Also he have B. Tech. Degree in Electronics from
Shivaji University, India. His main area of research
includes software developing, EDA tools based on
MICROWIND, System Crafter and tools based
wireless antenna design like CEM Solutions, 20-Sim
and other products like 3D printer etc.
ASIC design and VLSI Chip design concepts like

More Related Content

What's hot

IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
IRJET Journal
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
MAYANK KUMAR
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
ijcax
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
ijcax
 
VLSI
VLSIVLSI
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IOSRJVSP
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
IJMER
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
IRJET Journal
 
Ku3419461949
Ku3419461949Ku3419461949
Ku3419461949
IJERA Editor
 
Ramesh resume
Ramesh resumeRamesh resume
Ramesh resume
Ramesh Bankapalli
 
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
IJERA Editor
 
Fpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aesFpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aes
eSAT Publishing House
 
Basic signal processing system design on fpga using lms based adaptive filter
Basic signal processing system design on fpga using lms based adaptive filterBasic signal processing system design on fpga using lms based adaptive filter
Basic signal processing system design on fpga using lms based adaptive filter
eSAT Journals
 
Proposal for google summe of code 2016
Proposal for google summe of code 2016 Proposal for google summe of code 2016
Proposal for google summe of code 2016
Mahesh Dananjaya
 
International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)
irjes
 
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
VLSICS Design
 

What's hot (18)

IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
IRJET- Switch Level Implementation of A 4-Bit Logical Unit using Mixed Logic ...
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
 
3D-DRESD CiTiEs - ROMe
3D-DRESD CiTiEs  - ROMe3D-DRESD CiTiEs  - ROMe
3D-DRESD CiTiEs - ROMe
 
VLSI
VLSIVLSI
VLSI
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
 
Ku3419461949
Ku3419461949Ku3419461949
Ku3419461949
 
Ramesh resume
Ramesh resumeRamesh resume
Ramesh resume
 
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
 
Fpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aesFpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aes
 
Basic signal processing system design on fpga using lms based adaptive filter
Basic signal processing system design on fpga using lms based adaptive filterBasic signal processing system design on fpga using lms based adaptive filter
Basic signal processing system design on fpga using lms based adaptive filter
 
Proposal for google summe of code 2016
Proposal for google summe of code 2016 Proposal for google summe of code 2016
Proposal for google summe of code 2016
 
International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)
 
Carry
CarryCarry
Carry
 
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH...
 

Similar to Netlist Optimization for CMOS Place and Route in MICROWIND

unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
AcademicICECE
 
Implementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTLImplementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTL
IRJET Journal
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmetic
IRJET Journal
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdf
IjictTeam
 
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET Journal
 
Design and Implementation of JPEG CODEC using NoC
Design and Implementation of JPEG CODEC using NoCDesign and Implementation of JPEG CODEC using NoC
Design and Implementation of JPEG CODEC using NoC
IRJET Journal
 
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORSA COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
aciijournal
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
aciijournal
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
aciijournal
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
aciijournal
 
Experimental Based Learning and Modeling of Computer Networks
Experimental Based Learning and Modeling of Computer NetworksExperimental Based Learning and Modeling of Computer Networks
Experimental Based Learning and Modeling of Computer Networks
ijtsrd
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip Router
IRJET Journal
 
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOCDESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
IRJET Journal
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
eSAT Journals
 
An efficient design of latches for multi clock multi-microcontroller system o...
An efficient design of latches for multi clock multi-microcontroller system o...An efficient design of latches for multi clock multi-microcontroller system o...
An efficient design of latches for multi clock multi-microcontroller system o...
eSAT Journals
 
4317ijcax01.pdf
4317ijcax01.pdf4317ijcax01.pdf
4317ijcax01.pdf
ijcax
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
ijcax
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
ijcax
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
ijcax
 

Similar to Netlist Optimization for CMOS Place and Route in MICROWIND (20)

unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
Implementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTLImplementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTL
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmetic
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdf
 
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
 
Design and Implementation of JPEG CODEC using NoC
Design and Implementation of JPEG CODEC using NoCDesign and Implementation of JPEG CODEC using NoC
Design and Implementation of JPEG CODEC using NoC
 
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORSA COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
MAJOR PROJEC TVLSI
MAJOR PROJEC TVLSIMAJOR PROJEC TVLSI
MAJOR PROJEC TVLSI
 
Experimental Based Learning and Modeling of Computer Networks
Experimental Based Learning and Modeling of Computer NetworksExperimental Based Learning and Modeling of Computer Networks
Experimental Based Learning and Modeling of Computer Networks
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip Router
 
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOCDESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
DESIGN AND IMPLEMENTATION OF I2C AND UART BLOCK IMPLEMENTATION FOR RISC-V SOC
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
An efficient design of latches for multi clock multi-microcontroller system o...
An efficient design of latches for multi clock multi-microcontroller system o...An efficient design of latches for multi clock multi-microcontroller system o...
An efficient design of latches for multi clock multi-microcontroller system o...
 
4317ijcax01.pdf
4317ijcax01.pdf4317ijcax01.pdf
4317ijcax01.pdf
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
 
TRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBSTRACE LENGTH CALCULATION ON PCBS
TRACE LENGTH CALCULATION ON PCBS
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
IRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
IRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
IRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
IRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
IRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
IRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
IRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
IRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
MuhammadTufail242431
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
R&R Consult
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
abh.arya
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 

Recently uploaded (20)

WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 

Netlist Optimization for CMOS Place and Route in MICROWIND

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 448 Netlist Optimization for CMOS Place and Route in MICROWIND Mahesh G. Jaiswal1, Varsha Bendre2, Vinay Sharma3 1PG Scholar, Dept. of ME VLSI & Embedded, PCCOE Pune, Maharashtra, India 2Assistant Professor, Dept. of ME VLSI & Embedded, PCCOE Pune, Maharashtra, India 3Technical Director, Ni Logic Pvt. Ltd. Pune, Maharashtra, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract - Microwind is a software tool which is used for CMOS IC layout design. The gate level design is created in schematic editor and simulator tool DSCH. The transistor size in the last few decades is shrinking drastically with the rapid advancement of VLSI technology to integrate more numberof transistors on a single IC chip. This will indirectly affect the complexity in the placement and routing of the logic devices. And for any tool it is always a challenging task to make an efficient placement and routing of the cells. One of the EDA tool for CMOS design is MICROWIND, which uses a verilog net list generated by a schematic editor DSCH. This paper focuses on improvement in Microwind tool by implementing a logic optimization method forrearrangingverilog netlistaccording to interconnect wire nodes and numberoflogiccellslikeCMOS inverter, AND, OR etc. gates using MOS at 120nm technology and using FINFETs at 14nm technology. Our algorithm will rearrange net list and cells without reducing the actual transistors count. Therefore only the total numbers of metal layers, area of chip and interconnect delay etc. will get reduced to produce an optimum design. Key Words: Area, DSCH, Frontend-Backend design, Logic Synthesis, MICROWIND, Net list, Optimize, Placement, Routing, etc. 1. INTRODUCTION VLSI is the process of combining thousands of transistors into single IntegratedCircuit (IC)chip.Interconnectingwires length increases with the increase in transistors in the circuit. It is difficult to reduce the capacitive and resistive effects which have an impact on time delay. The interconnecting wires also havefixedarea andwidthmaking length as the only controllable parameter. Due to this there is a need of optimization techniques in VLSI design. In a Hardware Description Language like Verilog or VHDL, a net list is a description of all the devices or gates and all of the connections or wires between each device. In its simplest form, a net list consists of a list of the terminals (i.e. "pins") of the electronic components in a circuit and a list of the electrical conductors thatinterconnecttheterminals.A netis a conductor that interconnects two or more component terminals. [6] The objective of this paper is to develop an optimization logic that will automaticallyrearrangetheverilognetlistand create an efficient layout of the design in Microwind program. For the simulation purpose and observing the result of net list optimization logic, DSCH and MICROWIND tool is required. The basic idea is to optimize the net list generated after successful design of any analog, digital or sequential circuit in schematic editor tool i.e. DSCH. According to that net list a layout is generated in MICROWIND tool which should be as much efficient to design a chip. This must be optimized in concern with area, interconnect, costing, metal routing etc. To design an IC, VLSI IC design flow must be followed by the designer. The ASIC design flow is as shown in fig. 1. This design flow is mainly divided intotwopartsi.e.Frontendand Backend. This fig 1 shows few steps of the ASIC design. This paper introduces an optimization logic which must be applied in between Gate Level Net list and Floor planning step. A gate-level net list is a description of the circuit in terms of gates and connections between them, which are made in such a way that they meet the timing and power requirements. The actual placement of all logic cells is done in the way of gate level net list is arranged. Here in MICROWIND, a verilog net list decides the floor planning, placement of cells and their input-output routing. Fig 1: VLSI IC Design Flow After compiling the verilog net list in MICROWIND, the floor planning, Partitioning, Placementandroutingstepsaredone at the backend to produce a CMOS layout. Placement of cells and routing within the cells is becoming a difficult task for the designer as number of small sized transistors increases. To make this backend process as well as CMOS layout more efficient, optimization logic must be applied before the floor planning and placement steps. For physical layout structure and time optimization the various techniques like mapping, cell sizing, buffering and logic restructuring etc. can be
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 449 applied. This paper uses one of them i.e. logic restructuring technique. 2. TOOLS AND PLATFORM 2.1 MICROWIND The MICROWIND software allows the designer to simulate and design an integrated circuit at physical description level. Born in Toulouse (France),MICROWINDis an innovative CMOS design tool for educational market. MICROWIND is developed as comprehensive package on windows platform to enable students to learn smart design methods and techniques with more practice. With inbuilt layout editing tools, mix-signal simulator,MOScharacteristic viewer and more, it allows studentstolearncomplete design process with ease. MICROWIND unifies schematic entry, pattern based simulator, SPICE extraction of schematic, Verilog extractor, layout compilation, on layout mix-signal circuit simulation, cross sectional & 3D viewer, net list extraction, BSIM4 tutorial on MOS devices and sign-off correlation to deliver unmatched design performance and productivity. With its approachforCMOS designeducation,MICROWIND has gained lot followers worldwide. Universities across the globe are using MICROWIND for budding engineers to teach CMOS concepts with ease. Paving their path for more skilled software’s to be used at later stage of their course work. [5] 2.2 DSCH DSCH stands for Design Schematic Editor Tool. The DSCH program is logic editor and simulator. DSCH is used to validate the architecture of the logic circuit before the microelectronics design is started. DSCH provides a user friendly environment for hierarchical logic design and fast simulation with delay analysis, which allows the design and validation of complex logic structures. DSCH also features the symbols, models and assembly support for 8051 & 16F84 controllers. Designers can create logic circuits for interfacing with these controllers and verify software programs using DSCH. [5] 2.3 PASCAL Pascal is an imperative and procedural programming language, which is designed as a small, efficient language using structured programming and data structuring. A derivative known as Object Pascal designed for object- oriented programming. Pascal has introduced concepts and mechanisms which enabled programmers to define their own complex data types, and also made it easier to build dynamic and recursive data structures such as lists, trees and graphs. Important features included for this were records, enumerations, sub-ranges, dynamically allocated variables with associated pointers, and sets. To make this possible and meaningful, Pascal has a strong typing on all objects, which means that one type of data cannot be converted or interpreted as another without explicit conversions [7]. We are using this scripting language as platform for implementation of the optimization logic. 3. METHODOLOGY Fig 2: Flow Chart Next step includes an optimization logic which is now implemented in MICROWIND Tool. Optimization logic will contain rearrangement of net list in two ways first is rearrange net list by wires and second is rearrange by logic cells i.e. gates. The algorithm of optimization logic is as follows:- Firstly it takes original verilog net list from DSCH design and then extracts interconnect wire nodes from net list as it contains actual input-output information. Once all wire nodes are extracted, we will calculate the fan-out of each gate that means occurrence of each wire node. Then in next step select the node with the highest occurrence first and locate its locations in net list and simultaneously place all those lines one after another to form an optimized netlistby wires. This in turn will minimize total interconnectlengthas all gates with same wire node in their input or output will be placed together and also will keep more interconnect wires in same layer to minimize the total area. Now the same optimization logic can be used torearrange the net list by gates. Only the difference is that database contains all logic cells instead of interconnect wires that can be used in any design of DSCH and MICROWIND. This logic will bring same type of all logic cells together to form an optimized net list by gates. The advantage of this type of
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 450 rearrangement is that while observing the layout, an IC designer can easily distinguish between numbers of logic cells present in the layout. Once the optimized net list by wire is generated then next step is to compile and generate its layout in MICROWIND software. If designer found that the layout generated is not much optimized then he can go back to rearrange net list stage and select rearrange by gate option to generate gate optimized net list. Again designer will compile and generate layout. By the practical observations it is found that 90% examples of MICROWIND are successfullyoptimizing bythis logic. 4. RESULTS & DISCUSSION By using the methodology as described in above section, we have tested it for few examples and the results are as shown below- Fig 3: Four_Bit_ADC (Original Layout- with 6 layers in upper side) Fig 4(a): Optimized layout using WIRE rearranging logic- contains only 4 metal layers in upper side Fig 4(b): Optimized layout using GATE rearranging logic- contains 5 metal layers in upper side. Fig 3 shows the original layout of a four bit ADC which contains total 6 layers in upper side of the PMOS and NMOS cells. After applying the optimization logic we can observe that the number of layers is minimized. As shown in fig 4 (a) when we apply the wire optimization logic layers are minimized to 4 and when we apply gate optimization logic the total number of layers are minimized to 5. Table I: Optimization Results of Few More Examples Circuits Before Optimization After Optimization By Wire By Gate Area (µm2) Metal Layers (Nos.) Area (µm2) Metal Layers (Nos.) Area (µm2) Metal Layers (Nos.) Full Adder 205.7 5 183.4 3 183.4 3 ADC (4 Bit) 198.3 6 171.8 4 180.7 5 Counter(4 Bit) 601.6 5 528.7 3 601.6 5 In similar way we have tested few more examples and observed the changes in number of metal layers, total area and interconnect length which ismentionedinabovetable 1.
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 10 | Oct -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 451 5. CONCLUSION The verilog optimization logic ofrearrangementof netlistby wire and gate is working with the most of the examples tested by MICROWINDsoftware.Theresultsobservedshows a drastic change in placement of cells and their interconnect routing. Eventually that will also optimize the total area and interconnect delay to increase the speed ofoperationaswell as to improve the performance. This logic will be added in the upcoming version of MICROWIND software tool for better understanding of the CMOS IC layout designing concepts. The same algorithm of optimizing verilog net list can be applied to other educational software or EDA tools which uses the verilog net list for placement and routing. ACKNOWLEDGEMENT I would like to thank Ni Logic Pvt. Ltd. (Ni2Designs)Punefor giving me such a nice opportunity and for their continuous technical support. Also, I would like to thanks PCCOE Pune for allowing me to do internship for my PG project. I will sincerely thank to Prof. Etienne Sicard, Department of Electrical & Computer Engineering INSA – University of Toulouse for their valuable guidance. At last but not the least, I am thankful to my parents, who encouraged and inspired me with their blessings. REFERENCES 1. M. Marek-Sadowska, "Automated Routing for VLSI: Kuh's Group Contributions," in IEEE Circuits and Systems Magazine, vol. 16, no. 2, pp. 35-49, Secondquarter 2016. doi: 10.1109/MCAS.2016.2549948 2. S. Manna, T. Chakrabarti, U. Sharma and S. K. Sarkar, "Efficient VLSI routing optimization employing discrete differential evolution technique," Recent Trends in Information Systems (ReTIS), 2015 IEEE 2nd International Conference on, Kolkata,2015,pp.461-464 doi: 10.1109/ReTIS.2015.7232923 3. Etienne Sicard ,Syed Mahfuzul Aziz ,Sonia Ben Dhia “Effective Teaching of the Physical Design of Integrated Circuits Using Educational Tools”, IEEETRANSACTIONS ON EDUCATION, VOL. 53, NO. 4, NOVEMBER 2010 4. www.academia.edu 5. www.microwind.net 6. www.wikipedia.org 7.https://en.wikipedia.org/wiki/Pascal_(programming_lang uage) BIOGRAPHIES Mahesh G. Jaiswal PG Scholar in VLSI & Embedded systems, from Pimpri Chinchwad College of Engineering, Pune University, India. He has completed BE in Electronics and Telecommunications from Government College of Engineering & Research Pune, India in 2015. He is currently working with Ni Logic Pvt. Ltd Pune as software developer. His main research area of interest includes ASIC design, VLSI Chip design and EDA tool development. Varsha Bendre is working as an Assistant Professor at Department of E&TC, Pimpri ChinchwadCollegeof Engineering, Pune, affiliated to Savitribai Phule Pune University, Pune, India. She received her B.E. degree from Amravati University and M.E. degree from University of Pune in 2000 and 2010 respectively. Her research interest includes VLSI Design. Technology, Microelectronics, Low Power analog VLSI Design and Signal Processing. Vinay Sharma is currently working as technical director at Ni Logic Pvt. Ltd. Pune, India since last 10 years. He has completed his M. Tech. in Digital Systems from College of Engineering Pune, India. Also he have B. Tech. Degree in Electronics from Shivaji University, India. His main area of research includes software developing, EDA tools based on MICROWIND, System Crafter and tools based wireless antenna design like CEM Solutions, 20-Sim and other products like 3D printer etc. ASIC design and VLSI Chip design concepts like