SlideShare a Scribd company logo
Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55
www.ijera.com 52 | P a g e
Design of Low Power Sequential System Using Multi Bit FLIP-
FLOP With Data Driven Clock Gating
Poomalai M1
, Gopal B G2
, PG Scholar
1
, Associate Professor
2
1,2
Veltech Multitech Dr.Rangarajan Dr.Sakunthula Engineering College, Avadi, Chennai – 600062
ABSTRACT
Power reduction plays a vital role in VLSI design .The Data driven clock gating is used for reduce power
consumption in synchronous circuits .Common clock gating is used for power saving. However clock gating
still leaves larger amount of redundant clock pulses. Multibit flip-flop is also used to reduce power
consumption .Using of Multibit Flip-Flop method is to eliminate the total inverter number by sharing the
inverters in the flip-flop .Combination of Multibit Flip-Flop with Data driven clock gating will increase the
further power saving. Xilinx software tool and quatrus II for power analysis is used for implementing this
proposed system.
I. Introduction
Clock gating is a popular technique used in many
synchronous circuits for reducing dynamic power
dissipation. Clock gating saves power by adding
more logic to a circuit to prune the clock tree.
Pruning the clock disables portions of the circuitry so
that the flip-flops in them do not have to switch
states. Switching states consumes power. When not
being switched, the switching power consumption
goes to zero, and only leakage currents are incurred.
Clock gating works by taking the enable
conditions attached to registers, and uses them to gate
the clocks. Therefore it is imperative that a design
must contain these enable conditions in order to use
and benefit from clock gating. This clock gating
process can also save significant die area as well as
power, since it removes large numbers of mixes and
replaces them with clock gating logic. This clock
gating logic is generally in the form of "Integrated
clock gating" (ICG) cells. However, note that the
clock gating logic will change the clock tree
structure, since the clock gating logic will sit in the
clock tree.
Clock gating logic can be added into a design in a
variety of ways:
1. Coded into the RTL code as enable conditions that
can be automatically translated into clock gating
logic by synthesis tools (fine grain clock gating).
2. Inserted into the design manually by the RTL
designers (typically as module level clock gating) by
instantiating library specific ICG (Integrated Clock
Gating) cells to gate the clocks of specific modules or
registers.
3. Semi-automatically inserted into the RTL by
automated clock gating tools. These tools either
insert ICG cells into the RTL, or add enable
conditions into the RTL code. These typically also
offer sequential clock gating optimizations.
II. Literature Survey
Clock power is the major contributor to dynamic
power for modern integrated circuit design. A
conventional single-bit flip-flop cell uses an inverter
chain with a high drive strength to drive the clock
signal. Clustering several such cells and forming a
multibit flip-flop can share the drive strength,
dynamic power, and area of the inverter chain, and
can even save the clock network power and facilitate
the skew control. Hence, in this paper, we focus on
post placement multibit flip-flop clustering to gain
these benefits. Utilizing the properties of Manhattan
distance and coordinate transformation, we model the
problem instance by two interval graphs and use a
pair of linear-sized sequences as our representation.
Digital System Clocking is assuming ever greater
importance as clock speeds increase, doubling every
three years. This the first book to focus entirely on
clocked storage elements, "Flip-Flops" or Latches"—
provides an in-depth introduction to the subject for
both professional computer design engineers and
graduate-level computer engineering students. In
Digital System Clocking: High-Performance and
Low-Power Aspects, you will find information on.
Our method simultaneously performs (1) activity-
aware register clustering that reduces clock tree
power not only by clumping registers into a smaller
area, but pulling the registers with similar activity
pattern close to shut off more time for the resultant
sub trees; (2) timing and activity based net weighting
that reduce net switching power by assigning a
combination of activity and timing weights to the
nets with higher switching rates or more critical
timing; (3) gate control logic optimization that still
set the gate enable signal high if a register is active
RESEARCH ARTICLE OPEN ACCESS
Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55
www.ijera.com 53 | P a g e
for a number of consecutive clock cycles.
Experimental results show that our approach is able
to reduce the power and total wire length of clock
tree greatly with minimal overheads.
III. Existing System
In existing system power reduction is achieved
by using clock gating. With clock gating, the Clock
signals are multiply with an AND gate logic to
explicitly predefined enabling signal. But this clock
gating still leaves large number of redundant clock
pulses. Although substantially increasing design
productivity, such tools require the employment of a
long chain of automatic synthesis algorithms, from
register transfer level (RTL) down to gate level and
net list. Unfortunately, such automation leads to a
large number of unnecessary clock toggle, thus
increasing the number of wasted clock pulses at flip-
flops (FFs) as shown in this paper through several
industrial examples. Consequently, development of
automatic and effective methods to reduce this
inefficiency is desirable. In the sequel, we will use
the terms toggling, switching, and activity
interchangeably.
IV. Proposed System
Multi-bit Flip-Flop method is to eliminate the
total inverter number by sharing the inverters in the
flip-flops. Data driven clock gating reduce redundant
clock pulses. Combination of Multi-bit Flip-Flop
with Data driven clock gating will increase the
further power saving. Xilinx software tool is used for
implementing this proposed system. This paper
studies data-driven clock gating, employed for FFs at
the gate level, which is the most aggressive possible.
The clock signal driving a FF is disabled (gated)
when the FFs state is not subject to change in the next
clock cycle [7]. Data-driven gating is causing area
and power overheads that must be considered. In an
attempt to reduce the overhead, it is proposed to
group several FFs to be driven by the same clock
signal, generated by bring the enabling signals of the
individual FFs. This may however, lower the
disabling effectiveness. It is therefore beneficial to
group FFs whose switching activities are highly
correlated and derive a joint enabling signal. In a
recent paper, a model for data-driven gating is
developed based on the toggling activity of the
constituent FFs [9]. The optimal fan-out of a clock
gater yielding maximal power savings is derived
based on the average toggling statistics of the
individual FFs, process technology, and cell library in
use. In general, the state transitions of FFs in digital
systems depend on the data they process. Assessing
the effectiveness of data-driven clock gating requires,
therefore, extensive simulations and statistical
analysis of the FFs’ activity. Another grouping of FFs
for clock switching power reduction, called multi-bit
FF (MBFF), has recently been proposed in [10] and
[11]. MBFF attempts to physically merge FFs into a
single cell such that the inverters driving the clock
pulse into its master and slave latches are shared
among all FFs in a group. MBFF grouping is mainly
driven by the physical position proximity of
individual FFs, while grouping for data-driven clock
gating should combine toggling similarity with
physical position considerations. While [9] answered
the question of what is the group size that maximizes
power savings, this paper studies the questions of: 1)
which FFs should be placed in a group to maximize
the power reduction and 2) how to algorithmically
derive those groups. We also describe a backend
design flow implementation.
4.1 Data-Driven Clock Gating
Clock enabling signals are very well understood at
the system level and thus can effectively be defined
and capture the periods where functional blocks and
modules do not need to be clocked. Those are later
being automatically synthesized into clock enabling
signals at the gate level. In many cases, clock
enabling signals are manually added for every FF as a
part of a design methodology. Still, when modules at
a high and gate level are clocked, the state transitions
of their underlying FFs depend on the data being
processed. It is important to note that the entire
dynamic power consumed by a system stems from
the periods where modules’ clock signals are
enabled. Therefore, regardless of how relatively
small this period is, assessing the effectiveness of
clock gating requires extensive simulations and
statistical analysis of FFs toggling activity, as
presented subsequently.
Fig. 1 Practical data-driven clock gating.
The latch and gater (AND gate) overheads are
amortized over k FFs.
Let the average toggling probability of a FF (also
called activity factor) be denoted by p (0 <p< 1).
Under the worst-case assumption of independent FF
toggling, and assuming a uniform physical clock tree
structure, it is shown in [9] that the number k of
Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55
www.ijera.com 54 | P a g e
jointly gated FFs for which the power savings are
maximized is the solution of
(1− p)k
ln(1− p) (cFF+cW) +clatch/ k2
=0 (1)
Where cFF is the FFs clock input capacitance, cW is
the unit-size wire capacitance, and clatch is the latch
capacitance including the wire capacitance of its clk
input. Table I shows how the optimal k depends on p.
Such a gating scheme has considerable timing
implications, which are discussed in [9]. We will
return to those when discussing the implementation
of data-driven gating as a part of a complete design
flow.
4.2 Implementation and Integration Ina Design Flow
In the following, we describe the implementation
of data-driven clock gating as a part of a standard
backend design flow. It consists of the following
steps.
1) Estimating the FFs toggling probabilities
involves running an extensive test bench
representing typical operation modes of the
system to determine the size k of a gated FF
group by solving (1).
2) Running the placement tool in hand to get
preliminary preferred locations of FFs in the
layout.
3) Employing a FFs grouping tool to implement
the model and algorithms presented in Sections
III and IV, using the toggling correlation data
obtained in Step 1 and FF locations’ data
obtained in Step 2. The outcome of this step is
k-size FF sets (with manual overrides if
required), where the FFs in each set will be
jointly clocked by a common gater.
4) Introducing the data-driven clock gating logic
into the hardware description (we use Verilog
HDL). This is done automatically by a software
tool, adding appropriate Verilog code to
implement the logic described in Fig. 2. The
FFs are connected according to the grouping
obtained in Step 3. A delicate practical question
is whether to introduce the gating logic into
RTL or gate-level description. This depends on
design methodology in use and its discussion is
beyond the scope of this paper. We have
introduced the gating logic into the RTL
description.
5) Re-running the test bench of Step 1 to verify
the full identity of FFs’ outputs before and after
the introduction of gating logic. Although data-
driven gating, by its very definition, should not
change the logic of signals, and hence FFs
toggling should stay identical, a robust design
flow must implement this step.
6) Ordinary backend flow completion. From this
point, the backend design flow proceeds by
applying ordinary place and route tools. This is
followed by running clock-tree synthesis.
V. Simulation Results
Fig. 2 Output Waveform of Clock Gated
Synchronous FIFO
Fig. 3 Output Wave form of Synchronous FIFO
Using Multibit Flipflop With Data Driven Clock
Gating.
Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55
www.ijera.com 55 | P a g e
Fig .4 Power Analyzer Summary
Clock gating is used in fifo to reduce the power
consumption. For further power saving data driven
clock gating and multi-bit flip-flops are used in
sequential circuits. Common clock gating is used for
power saving. But clock gating still leaves larger
amount of redundant clock pulses. Multi-bit flip-flop
is also used to reduce power consumption. Using of
Multi-bit Flip-Flop method is to eliminate the total
inverter number by sharing the inverters in the flip-
flops.
VI. Conclusion
Clock gating is used in fifo to reduce the power
consumption. For further power saving data driven
clock gating and multibit flip-flops are used in
sequential circuits. Common clock gating is used for
power saving. But clock gating still leaves larger
amount of redundant clock pulses. Multibit flip-flop
is also used to reduce power consumption. Using of
Multibit Flip-Flop method is to eliminate the total
inverter number by sharing the inverters in the flip-
flops. Combination of Multibit Flip-Flop with Data
driven clock gating will increase the further power
saving. Xilinx software tool is used for implementing
this proposed system. The combination of data-driven
gating with MBFF in an attempt to yield further
power savings.
References
[1]. Design Flow for Flip-Flop Grouping in Data-
DrivenClockGatingShmuelWimer,
Member,IEEE, and Israel Koren, Fellow,
IEEEVOL. 22, NO. 4, APRIL 2014.
[2]. S. Wimer and I. Koren "The Optimal fan-out
of clock network for power minimization by
adaptivegating", IEEE Trans. Very Large
Scale Integr. (VLSI) Syst., vol. 20, no.
10, pp.1772 -1780 2012
[3]. I. H.-R. Jiang , C.-L. Chang , Y.-M. Yang , E.
Y.-W. Tsai and L. S.-F. Cheng "INTEGRA:
Fast multi-bit flip-flop clustering for clock
power saving based on intervalgraphs", Proc.
Int. Symp. Phys. Design, pp.115 -121 2011
[4]. W. Shen , Y. Cai , X. Hong and J. Hu "Activity
and register placement aware gated clock
network design", Proc. Int. Symp.
Phys.Design, pp.182 -189 2008
[5]. W. Aloisi and R. Mita "Gated-clock design
of linear-feedback shift registers", IEEE
Trans. Circuits Syst., II, Brief Papers, vol.
55, no. 5, pp.546 -550 2008
[6]. M.S.Hosny and W.Yuejian "Low power
clocking strategies in deep
submicrontechnologies", Proc. IEEE Intll.
Conf. Integr. Circuit Design
Technol., pp.143 -146 2008
[7]. C. Chunhong , K. Changjun and S.
Majid "Activity-sensitive clock tree
construction for low power", Proc. Int.Symp.
Low Power Electron. Design, pp.279 -282
2002
[8]. G. Palumbo , F. Pappalardo and S.
Sannella "Evaluation on power reduction
applying gated clock approach", Proc. IEEE
ISCAS 2002, vol. 44, pp.IV85 -IV88 2002
[9]. M. E. Hamid and C. H. Chen "A note to low-
power linear feedback shift register", IEEE
Trans. Circuits Syst. II, Analog Digit. Signal
Process., vol. 45, no. 9, pp.1304 -1307
1998
[10]. T. Lang , E. Musoll and J.
Cortadella "Individual flip-flops with gated
clocks for low power datapaths", IEEE
Trans. Circuits Syst. II, Analog Digit. Signal
Process., vol. 44, no. 6, pp.507 -516 1997
[11]. M. Lowy "Parallel implementation of linear
feedback shift register for low power
applications", IEEE Trans. Circuits Syst. II,
Analog Digit. Signal Process., vol. 43, no.
6, 1996

More Related Content

What's hot

Per domain power analysis
Per domain power analysisPer domain power analysis
Per domain power analysis
Arun Joseph
 
Implementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSRImplementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSR
International Journal of Science and Research (IJSR)
 
Presentation: Wind Speed Prediction using Radial Basis Function Neural Network
Presentation: Wind Speed Prediction using Radial Basis Function Neural NetworkPresentation: Wind Speed Prediction using Radial Basis Function Neural Network
Presentation: Wind Speed Prediction using Radial Basis Function Neural Network
Arzam Muzaffar Kotriwala
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
IJMTST Journal
 
A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
A Modified Design of Test Pattern Generator for Built-In-Self- Test ApplicationsA Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
IJERA Editor
 
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Tomas Elgeryd Ahnlund
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
IRJET Journal
 
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
Salford Systems
 
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
ijsrd.com
 
International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)
irjes
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET Journal
 
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
ijsrd.com
 
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel InverterArtificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
IJMTST Journal
 
Measurement Of Rn222 Concentrations In The Air Of Peshraw & Darbandikhan Tu...
Measurement Of Rn222  Concentrations In The Air Of Peshraw &  Darbandikhan Tu...Measurement Of Rn222  Concentrations In The Air Of Peshraw &  Darbandikhan Tu...
Measurement Of Rn222 Concentrations In The Air Of Peshraw & Darbandikhan Tu...
IJMER
 
How to do accurate RE forecasting & scheduling
How to do accurate RE forecasting & scheduling How to do accurate RE forecasting & scheduling
How to do accurate RE forecasting & scheduling
Das A. K.
 
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
deawoo Kim
 
H235055
H235055H235055
Fpga based artificial neural network
Fpga based artificial neural networkFpga based artificial neural network
Fpga based artificial neural network
Hoopeer Hoopeer
 
G011136871
G011136871G011136871
G011136871
IOSR Journals
 

What's hot (19)

Per domain power analysis
Per domain power analysisPer domain power analysis
Per domain power analysis
 
Implementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSRImplementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSR
 
Presentation: Wind Speed Prediction using Radial Basis Function Neural Network
Presentation: Wind Speed Prediction using Radial Basis Function Neural NetworkPresentation: Wind Speed Prediction using Radial Basis Function Neural Network
Presentation: Wind Speed Prediction using Radial Basis Function Neural Network
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
A Modified Design of Test Pattern Generator for Built-In-Self- Test ApplicationsA Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications
 
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
 
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
A Hybrid Method of CART and Artificial Neural Network for Short Term Load For...
 
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
Improved Performance of LEACH for WSN Using Precise Number of Cluster-Head an...
 
International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)International Refereed Journal of Engineering and Science (IRJES)
International Refereed Journal of Engineering and Science (IRJES)
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
 
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
 
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel InverterArtificial Neural Network Based Closed Loop Control of Multilevel Inverter
Artificial Neural Network Based Closed Loop Control of Multilevel Inverter
 
Measurement Of Rn222 Concentrations In The Air Of Peshraw & Darbandikhan Tu...
Measurement Of Rn222  Concentrations In The Air Of Peshraw &  Darbandikhan Tu...Measurement Of Rn222  Concentrations In The Air Of Peshraw &  Darbandikhan Tu...
Measurement Of Rn222 Concentrations In The Air Of Peshraw & Darbandikhan Tu...
 
How to do accurate RE forecasting & scheduling
How to do accurate RE forecasting & scheduling How to do accurate RE forecasting & scheduling
How to do accurate RE forecasting & scheduling
 
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
Revisiting Sensor MAC for Periodic Monitoring: Why Should Transmitters Be Ear...
 
H235055
H235055H235055
H235055
 
Fpga based artificial neural network
Fpga based artificial neural networkFpga based artificial neural network
Fpga based artificial neural network
 
G011136871
G011136871G011136871
G011136871
 

Viewers also liked

Letter of Recommendation
Letter of RecommendationLetter of Recommendation
Letter of Recommendation
Shane Halim
 
September 2016 Women in Housing_selected-pages
September 2016  Women in Housing_selected-pagesSeptember 2016  Women in Housing_selected-pages
September 2016 Women in Housing_selected-pages
Mike Barker
 
Chiew Pei Sean-Certificate
Chiew Pei Sean-CertificateChiew Pei Sean-Certificate
Chiew Pei Sean-CertificateIris Sean
 
Powerpoint 2 tik Yessi Trifani
Powerpoint 2 tik Yessi TrifaniPowerpoint 2 tik Yessi Trifani
Powerpoint 2 tik Yessi Trifani
Wendy Simangunsong
 
Redes de computadora
Redes de computadoraRedes de computadora
Redes de computadora
sebastian tabares rengifo
 
Social Media Time Savers by Mobloggy® and Constant Contact®
Social Media Time Savers by Mobloggy® and Constant Contact®Social Media Time Savers by Mobloggy® and Constant Contact®
Social Media Time Savers by Mobloggy® and Constant Contact®
Rebecca Ruck
 
Cuento de la tolerancia
Cuento de la toleranciaCuento de la tolerancia
Cuento de la tolerancia
Heber Perez Vazquez
 
Presentation_NEW.PPTX
Presentation_NEW.PPTXPresentation_NEW.PPTX
Presentation_NEW.PPTX
jameschloejames
 
Jodoh Rajawali_Kho Ping Hoo
Jodoh Rajawali_Kho Ping HooJodoh Rajawali_Kho Ping Hoo
Jodoh Rajawali_Kho Ping Hoo
Sariyanti Palembang
 
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
Symposium
 
Rpp pertemuan12
Rpp pertemuan12Rpp pertemuan12
Rpp pertemuan12
rizka_safa
 
MuzellecRonteauLambkin2015
MuzellecRonteauLambkin2015MuzellecRonteauLambkin2015
MuzellecRonteauLambkin2015
Laurent Muzellec
 

Viewers also liked (15)

Letter of Recommendation
Letter of RecommendationLetter of Recommendation
Letter of Recommendation
 
September 2016 Women in Housing_selected-pages
September 2016  Women in Housing_selected-pagesSeptember 2016  Women in Housing_selected-pages
September 2016 Women in Housing_selected-pages
 
Chiew Pei Sean-Certificate
Chiew Pei Sean-CertificateChiew Pei Sean-Certificate
Chiew Pei Sean-Certificate
 
FC2
FC2FC2
FC2
 
Powerpoint 2 tik Yessi Trifani
Powerpoint 2 tik Yessi TrifaniPowerpoint 2 tik Yessi Trifani
Powerpoint 2 tik Yessi Trifani
 
Redes de computadora
Redes de computadoraRedes de computadora
Redes de computadora
 
Social Media Time Savers by Mobloggy® and Constant Contact®
Social Media Time Savers by Mobloggy® and Constant Contact®Social Media Time Savers by Mobloggy® and Constant Contact®
Social Media Time Savers by Mobloggy® and Constant Contact®
 
Cuento de la tolerancia
Cuento de la toleranciaCuento de la tolerancia
Cuento de la tolerancia
 
Presentation_NEW.PPTX
Presentation_NEW.PPTXPresentation_NEW.PPTX
Presentation_NEW.PPTX
 
CARTA DE RECOMENDACION ALEJANDRO DIAZ LEON EN
CARTA DE RECOMENDACION ALEJANDRO DIAZ LEON ENCARTA DE RECOMENDACION ALEJANDRO DIAZ LEON EN
CARTA DE RECOMENDACION ALEJANDRO DIAZ LEON EN
 
Verslag observatieperiode
Verslag observatieperiode Verslag observatieperiode
Verslag observatieperiode
 
Jodoh Rajawali_Kho Ping Hoo
Jodoh Rajawali_Kho Ping HooJodoh Rajawali_Kho Ping Hoo
Jodoh Rajawali_Kho Ping Hoo
 
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
2015 Broken Hill Resources Investment Symposium - Geology Survey of South Aus...
 
Rpp pertemuan12
Rpp pertemuan12Rpp pertemuan12
Rpp pertemuan12
 
MuzellecRonteauLambkin2015
MuzellecRonteauLambkin2015MuzellecRonteauLambkin2015
MuzellecRonteauLambkin2015
 

Similar to Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Driven Clock Gating

Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
iosrjce
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
ijsrd.com
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
IRJET Journal
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
Editor IJMTER
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
IJTET Journal
 
A Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver TreeA Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver Tree
IOSR Journals
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET Journal
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
IAEME Publication
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power Electronics
journalBEEI
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
IJET - International Journal of Engineering and Techniques
 
Scheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic FilterScheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic Filter
ijait
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
ijcsit
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
IAEME Publication
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
AIRCC Publishing Corporation
 
RT-lab based real-time simulation of flywheel energy storage system associate...
RT-lab based real-time simulation of flywheel energy storage system associate...RT-lab based real-time simulation of flywheel energy storage system associate...
RT-lab based real-time simulation of flywheel energy storage system associate...
International Journal of Power Electronics and Drive Systems
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
IOSR Journals
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
IOSR Journals
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
Sudhanshu Janwadkar
 
FPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace BufferFPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace Buffer
paperpublications3
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
IRJET Journal
 

Similar to Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Driven Clock Gating (20)

Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
A Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver TreeA Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver Tree
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power Electronics
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
Scheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic FilterScheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic Filter
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
 
RT-lab based real-time simulation of flywheel energy storage system associate...
RT-lab based real-time simulation of flywheel energy storage system associate...RT-lab based real-time simulation of flywheel energy storage system associate...
RT-lab based real-time simulation of flywheel energy storage system associate...
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
 
FPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace BufferFPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace Buffer
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 

Recently uploaded

22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
NazakatAliKhoso2
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
zubairahmad848137
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
bijceesjournal
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
ecqow
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
gerogepatton
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
Roger Rozario
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
co23btech11018
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
RamonNovais6
 
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptxML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
JamalHussainArman
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
amsjournal
 
Hematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood CountHematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood Count
shahdabdulbaset
 
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENTNATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
Addu25809
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
Hitesh Mohapatra
 
The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.
sachin chaurasia
 

Recently uploaded (20)

22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
 
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptxML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
 
Hematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood CountHematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood Count
 
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENTNATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
NATURAL DEEP EUTECTIC SOLVENTS AS ANTI-FREEZING AGENT
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
 
The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.
 

Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Driven Clock Gating

  • 1. Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55 www.ijera.com 52 | P a g e Design of Low Power Sequential System Using Multi Bit FLIP- FLOP With Data Driven Clock Gating Poomalai M1 , Gopal B G2 , PG Scholar 1 , Associate Professor 2 1,2 Veltech Multitech Dr.Rangarajan Dr.Sakunthula Engineering College, Avadi, Chennai – 600062 ABSTRACT Power reduction plays a vital role in VLSI design .The Data driven clock gating is used for reduce power consumption in synchronous circuits .Common clock gating is used for power saving. However clock gating still leaves larger amount of redundant clock pulses. Multibit flip-flop is also used to reduce power consumption .Using of Multibit Flip-Flop method is to eliminate the total inverter number by sharing the inverters in the flip-flop .Combination of Multibit Flip-Flop with Data driven clock gating will increase the further power saving. Xilinx software tool and quatrus II for power analysis is used for implementing this proposed system. I. Introduction Clock gating is a popular technique used in many synchronous circuits for reducing dynamic power dissipation. Clock gating saves power by adding more logic to a circuit to prune the clock tree. Pruning the clock disables portions of the circuitry so that the flip-flops in them do not have to switch states. Switching states consumes power. When not being switched, the switching power consumption goes to zero, and only leakage currents are incurred. Clock gating works by taking the enable conditions attached to registers, and uses them to gate the clocks. Therefore it is imperative that a design must contain these enable conditions in order to use and benefit from clock gating. This clock gating process can also save significant die area as well as power, since it removes large numbers of mixes and replaces them with clock gating logic. This clock gating logic is generally in the form of "Integrated clock gating" (ICG) cells. However, note that the clock gating logic will change the clock tree structure, since the clock gating logic will sit in the clock tree. Clock gating logic can be added into a design in a variety of ways: 1. Coded into the RTL code as enable conditions that can be automatically translated into clock gating logic by synthesis tools (fine grain clock gating). 2. Inserted into the design manually by the RTL designers (typically as module level clock gating) by instantiating library specific ICG (Integrated Clock Gating) cells to gate the clocks of specific modules or registers. 3. Semi-automatically inserted into the RTL by automated clock gating tools. These tools either insert ICG cells into the RTL, or add enable conditions into the RTL code. These typically also offer sequential clock gating optimizations. II. Literature Survey Clock power is the major contributor to dynamic power for modern integrated circuit design. A conventional single-bit flip-flop cell uses an inverter chain with a high drive strength to drive the clock signal. Clustering several such cells and forming a multibit flip-flop can share the drive strength, dynamic power, and area of the inverter chain, and can even save the clock network power and facilitate the skew control. Hence, in this paper, we focus on post placement multibit flip-flop clustering to gain these benefits. Utilizing the properties of Manhattan distance and coordinate transformation, we model the problem instance by two interval graphs and use a pair of linear-sized sequences as our representation. Digital System Clocking is assuming ever greater importance as clock speeds increase, doubling every three years. This the first book to focus entirely on clocked storage elements, "Flip-Flops" or Latches"— provides an in-depth introduction to the subject for both professional computer design engineers and graduate-level computer engineering students. In Digital System Clocking: High-Performance and Low-Power Aspects, you will find information on. Our method simultaneously performs (1) activity- aware register clustering that reduces clock tree power not only by clumping registers into a smaller area, but pulling the registers with similar activity pattern close to shut off more time for the resultant sub trees; (2) timing and activity based net weighting that reduce net switching power by assigning a combination of activity and timing weights to the nets with higher switching rates or more critical timing; (3) gate control logic optimization that still set the gate enable signal high if a register is active RESEARCH ARTICLE OPEN ACCESS
  • 2. Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55 www.ijera.com 53 | P a g e for a number of consecutive clock cycles. Experimental results show that our approach is able to reduce the power and total wire length of clock tree greatly with minimal overheads. III. Existing System In existing system power reduction is achieved by using clock gating. With clock gating, the Clock signals are multiply with an AND gate logic to explicitly predefined enabling signal. But this clock gating still leaves large number of redundant clock pulses. Although substantially increasing design productivity, such tools require the employment of a long chain of automatic synthesis algorithms, from register transfer level (RTL) down to gate level and net list. Unfortunately, such automation leads to a large number of unnecessary clock toggle, thus increasing the number of wasted clock pulses at flip- flops (FFs) as shown in this paper through several industrial examples. Consequently, development of automatic and effective methods to reduce this inefficiency is desirable. In the sequel, we will use the terms toggling, switching, and activity interchangeably. IV. Proposed System Multi-bit Flip-Flop method is to eliminate the total inverter number by sharing the inverters in the flip-flops. Data driven clock gating reduce redundant clock pulses. Combination of Multi-bit Flip-Flop with Data driven clock gating will increase the further power saving. Xilinx software tool is used for implementing this proposed system. This paper studies data-driven clock gating, employed for FFs at the gate level, which is the most aggressive possible. The clock signal driving a FF is disabled (gated) when the FFs state is not subject to change in the next clock cycle [7]. Data-driven gating is causing area and power overheads that must be considered. In an attempt to reduce the overhead, it is proposed to group several FFs to be driven by the same clock signal, generated by bring the enabling signals of the individual FFs. This may however, lower the disabling effectiveness. It is therefore beneficial to group FFs whose switching activities are highly correlated and derive a joint enabling signal. In a recent paper, a model for data-driven gating is developed based on the toggling activity of the constituent FFs [9]. The optimal fan-out of a clock gater yielding maximal power savings is derived based on the average toggling statistics of the individual FFs, process technology, and cell library in use. In general, the state transitions of FFs in digital systems depend on the data they process. Assessing the effectiveness of data-driven clock gating requires, therefore, extensive simulations and statistical analysis of the FFs’ activity. Another grouping of FFs for clock switching power reduction, called multi-bit FF (MBFF), has recently been proposed in [10] and [11]. MBFF attempts to physically merge FFs into a single cell such that the inverters driving the clock pulse into its master and slave latches are shared among all FFs in a group. MBFF grouping is mainly driven by the physical position proximity of individual FFs, while grouping for data-driven clock gating should combine toggling similarity with physical position considerations. While [9] answered the question of what is the group size that maximizes power savings, this paper studies the questions of: 1) which FFs should be placed in a group to maximize the power reduction and 2) how to algorithmically derive those groups. We also describe a backend design flow implementation. 4.1 Data-Driven Clock Gating Clock enabling signals are very well understood at the system level and thus can effectively be defined and capture the periods where functional blocks and modules do not need to be clocked. Those are later being automatically synthesized into clock enabling signals at the gate level. In many cases, clock enabling signals are manually added for every FF as a part of a design methodology. Still, when modules at a high and gate level are clocked, the state transitions of their underlying FFs depend on the data being processed. It is important to note that the entire dynamic power consumed by a system stems from the periods where modules’ clock signals are enabled. Therefore, regardless of how relatively small this period is, assessing the effectiveness of clock gating requires extensive simulations and statistical analysis of FFs toggling activity, as presented subsequently. Fig. 1 Practical data-driven clock gating. The latch and gater (AND gate) overheads are amortized over k FFs. Let the average toggling probability of a FF (also called activity factor) be denoted by p (0 <p< 1). Under the worst-case assumption of independent FF toggling, and assuming a uniform physical clock tree structure, it is shown in [9] that the number k of
  • 3. Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55 www.ijera.com 54 | P a g e jointly gated FFs for which the power savings are maximized is the solution of (1− p)k ln(1− p) (cFF+cW) +clatch/ k2 =0 (1) Where cFF is the FFs clock input capacitance, cW is the unit-size wire capacitance, and clatch is the latch capacitance including the wire capacitance of its clk input. Table I shows how the optimal k depends on p. Such a gating scheme has considerable timing implications, which are discussed in [9]. We will return to those when discussing the implementation of data-driven gating as a part of a complete design flow. 4.2 Implementation and Integration Ina Design Flow In the following, we describe the implementation of data-driven clock gating as a part of a standard backend design flow. It consists of the following steps. 1) Estimating the FFs toggling probabilities involves running an extensive test bench representing typical operation modes of the system to determine the size k of a gated FF group by solving (1). 2) Running the placement tool in hand to get preliminary preferred locations of FFs in the layout. 3) Employing a FFs grouping tool to implement the model and algorithms presented in Sections III and IV, using the toggling correlation data obtained in Step 1 and FF locations’ data obtained in Step 2. The outcome of this step is k-size FF sets (with manual overrides if required), where the FFs in each set will be jointly clocked by a common gater. 4) Introducing the data-driven clock gating logic into the hardware description (we use Verilog HDL). This is done automatically by a software tool, adding appropriate Verilog code to implement the logic described in Fig. 2. The FFs are connected according to the grouping obtained in Step 3. A delicate practical question is whether to introduce the gating logic into RTL or gate-level description. This depends on design methodology in use and its discussion is beyond the scope of this paper. We have introduced the gating logic into the RTL description. 5) Re-running the test bench of Step 1 to verify the full identity of FFs’ outputs before and after the introduction of gating logic. Although data- driven gating, by its very definition, should not change the logic of signals, and hence FFs toggling should stay identical, a robust design flow must implement this step. 6) Ordinary backend flow completion. From this point, the backend design flow proceeds by applying ordinary place and route tools. This is followed by running clock-tree synthesis. V. Simulation Results Fig. 2 Output Waveform of Clock Gated Synchronous FIFO Fig. 3 Output Wave form of Synchronous FIFO Using Multibit Flipflop With Data Driven Clock Gating.
  • 4. Poomalai M Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 5, ( Part -5) May 2015, pp.52-55 www.ijera.com 55 | P a g e Fig .4 Power Analyzer Summary Clock gating is used in fifo to reduce the power consumption. For further power saving data driven clock gating and multi-bit flip-flops are used in sequential circuits. Common clock gating is used for power saving. But clock gating still leaves larger amount of redundant clock pulses. Multi-bit flip-flop is also used to reduce power consumption. Using of Multi-bit Flip-Flop method is to eliminate the total inverter number by sharing the inverters in the flip- flops. VI. Conclusion Clock gating is used in fifo to reduce the power consumption. For further power saving data driven clock gating and multibit flip-flops are used in sequential circuits. Common clock gating is used for power saving. But clock gating still leaves larger amount of redundant clock pulses. Multibit flip-flop is also used to reduce power consumption. Using of Multibit Flip-Flop method is to eliminate the total inverter number by sharing the inverters in the flip- flops. Combination of Multibit Flip-Flop with Data driven clock gating will increase the further power saving. Xilinx software tool is used for implementing this proposed system. The combination of data-driven gating with MBFF in an attempt to yield further power savings. References [1]. Design Flow for Flip-Flop Grouping in Data- DrivenClockGatingShmuelWimer, Member,IEEE, and Israel Koren, Fellow, IEEEVOL. 22, NO. 4, APRIL 2014. [2]. S. Wimer and I. Koren "The Optimal fan-out of clock network for power minimization by adaptivegating", IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 10, pp.1772 -1780 2012 [3]. I. H.-R. Jiang , C.-L. Chang , Y.-M. Yang , E. Y.-W. Tsai and L. S.-F. Cheng "INTEGRA: Fast multi-bit flip-flop clustering for clock power saving based on intervalgraphs", Proc. Int. Symp. Phys. Design, pp.115 -121 2011 [4]. W. Shen , Y. Cai , X. Hong and J. Hu "Activity and register placement aware gated clock network design", Proc. Int. Symp. Phys.Design, pp.182 -189 2008 [5]. W. Aloisi and R. Mita "Gated-clock design of linear-feedback shift registers", IEEE Trans. Circuits Syst., II, Brief Papers, vol. 55, no. 5, pp.546 -550 2008 [6]. M.S.Hosny and W.Yuejian "Low power clocking strategies in deep submicrontechnologies", Proc. IEEE Intll. Conf. Integr. Circuit Design Technol., pp.143 -146 2008 [7]. C. Chunhong , K. Changjun and S. Majid "Activity-sensitive clock tree construction for low power", Proc. Int.Symp. Low Power Electron. Design, pp.279 -282 2002 [8]. G. Palumbo , F. Pappalardo and S. Sannella "Evaluation on power reduction applying gated clock approach", Proc. IEEE ISCAS 2002, vol. 44, pp.IV85 -IV88 2002 [9]. M. E. Hamid and C. H. Chen "A note to low- power linear feedback shift register", IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 9, pp.1304 -1307 1998 [10]. T. Lang , E. Musoll and J. Cortadella "Individual flip-flops with gated clocks for low power datapaths", IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 44, no. 6, pp.507 -516 1997 [11]. M. Lowy "Parallel implementation of linear feedback shift register for low power applications", IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 43, no. 6, 1996