SlideShare a Scribd company logo
Approaches of Nanoelectronics
Presented by
Aravinth
II-MSc Nanoscience and Technology
Alagappa University, Karaikudi
Nanoelectronics
• Nanoelectronics refer to the use of nanotechnology in electronic
components
• Make use of scientific methods at atomic scale for developing the
Nanomachines
• Main target is reduce the size, risk factor and surface area of the
materials and molecules
Moore predicted that this trend would continue for
the foreseeable future.
Moore’s Law
Semiconductor Road Map
• The International Road Map Technology of
Semiconductors (IRTS) is an assessment of the
semiconductor industry’s technology requirement.
• IRTS is advance performance of integrated circuits
and remove roadblocks to the continuation of Moore’s
Law.
The “Top-Down” Approach
• A Top-Down approach is
essentially the breaking down of
a system to gain insight into its
compositional sub-systems.
• Each sub-systems is then refined
in yet greater detail, sometimes
in many additional sub-system
levels, until the entire
specification is reduced to base
elements.
• The invention of transistor in
1947. The first transistor was a
bipolar device consisting of two
sharp metal wires.
size year
100µm 1960
1µm 1980
130nm 2001
90nm 2003
60nm 2005
45nm 2007
32nm 2010
16nm 2017
10nm 2018
7nm 2019
• In the bottom-up approach, molecular components
arrange themselves into more complex assemblies
atom-by-atom, molecule-by-molecule,
Cluster-by-cluster from the bottom
• These clusters come together to form self
assembled monolayers on the surface of substrate
• Fabrication is much less expensive
Bottom-Up Approach
Nanolithography
Nanolithography is used during the fabrication of leading –edge semiconductor
integrated circuits (nanocircuitry) or nanoelectromechanical system (NEMS)
Nanolithography is that branch of Nanotechnology, which deals with the study
And applications of fabrication of nanoscale structures like semiconductor circuits.
There are many types of lithography;
• Optical Lithography
• X-ray Lithography
• Magneto Lithography
• Extreme Ultraviolet Lithography
• Immersion Lithography
Optical Lithography
(or)
Photolithography or UV lithography
• It is most commonly used nanolithography.
• Selectively remove the parts of a thin films or the bulk of a substrate.
• It uses light to transfer a geometric pattern from a photo
mask to a light sensitive chemical photo resist on the substrate
• A modern CMOS will go through the photolithographic
cycle up to 50 times.
X-Ray lithography
Magneto Lithography
• ML based on applying a magnetic field on
the substrate using paramagnetic
metal masks named "magnetic mask".
• The second component of the process
is ferromagnetic nanoparticles
• It advantage is producing multilayer with
high accuracy of alignment and with the
same efficiency for all layers.
Extreme ultraviolet lithography
Extreme ultraviolet lithography is a next-generation
lithography technology using a range of extreme
ultraviolet (EUV) wavelengths
EUV is currently being developed for high volume use by
2020.
EUV multilayer and absorber (purple) constituting mask
pattern for imaging a line.
EUV radiation (red) reflected from the mask pattern is
absorbed in the resist (yellow) and substrate (brown),
producing photoelectrons and secondary electrons (blue).
These electrons increase the extent of chemical reactions in
the resist. A secondary electron pattern that is random in
nature is superimposed on the optical image. The
unwanted secondary electron exposure results in loss of
resolution, observable line edge roughness and linewidth
variation. Image formation mechanism in EUV lithography
Immersion lithography
Immersion lithography is a photolithography resolution enhancement technique
for manufacturing integrated circuits (ICs) that replaces the usual air gap
between the final lens and the wafer surface with a liquid medium that has a
refractive index greater than one.
Innovative nanoelectronic devices:
There are numerous devolvement in the field of nanoelectronics, just to list few:
Carbon nanotube devices (CNT devices)
The first application of CNT’s are wiring of microelectronic circuits and its
uses as field emitters for high resolution flat banal displays and other
is carbon nanotube transistors.
Nanowires:
Semiconductor nanowires are used in electronic devices including field emitting transistors,
sensors, detectors and light emitting diodes.
Advantages of nanoelectronics:
• Compact
• Less energy consumption
• More efficient
• Enhanced device, better security and many more
Application of Nanoelectronics:
• Wearable, flexible electronics
• Optoelectronics
• Bioelectronics
• Energy storage devices
• Nano structured sensors
Reference:
wikipedia.org/
newatlas.com
nanowerk.com
academia.edu
nano.gov
spiedigitallibrary.org
Approaches of nanoelectronics

More Related Content

What's hot

Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
Viju Jigajinni
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
AakankshaR
 
nano science and nano technology
nano science and nano technologynano science and nano technology
nano science and nano technology
Anmol Bagga
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniques
AshvinthK
 
Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniques
Mohshina Afrooz
 
The scope of nanotechnology
The scope of nanotechnologyThe scope of nanotechnology
The scope of nanotechnology
Harkirat Bedi
 
Applications of nanotechnology
Applications of nanotechnology Applications of nanotechnology
Applications of nanotechnology
Sarmad Adnan
 
Nano Technology
Nano TechnologyNano Technology
Nano Technology
ZeusAce
 
Nanotechnology
Nanotechnology Nanotechnology
Nanotechnology
Vaibhav Maurya
 
Applications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ckApplications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ck
Karthikeyan Chelladurai
 
Carbon Nanotubes
Carbon NanotubesCarbon Nanotubes
Carbon Nanotubes
Arindam Ghosh
 
NANOTECHNOLOGY
NANOTECHNOLOGYNANOTECHNOLOGY
NANOTECHNOLOGY
Leela Vasundara D K
 
Nano-technology Seminar
Nano-technology SeminarNano-technology Seminar
Nano-technology Seminar
hanugoudaPatil
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
Qazi Raza Abdul Quadir
 
Nano-lithography
Nano-lithographyNano-lithography
Nano-lithography
Preeti Choudhary
 
Carbon Nanotubes
Carbon NanotubesCarbon Nanotubes
Carbon Nanotubes
kailash pandey
 
Nano electro mechanical systems
Nano electro mechanical systems Nano electro mechanical systems
Nano electro mechanical systems
utpal sarkar
 
Nanoparticles and sensors applications
Nanoparticles and sensors applicationsNanoparticles and sensors applications
Nanotechnology-ppt
Nanotechnology-ppt Nanotechnology-ppt
Nanotechnology-ppt
Sukanta Paul
 
Green nanotechnology & its application in biomedical research
Green nanotechnology & its application in biomedical researchGreen nanotechnology & its application in biomedical research
Green nanotechnology & its application in biomedical research
RunjhunDutta
 

What's hot (20)

Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
nano science and nano technology
nano science and nano technologynano science and nano technology
nano science and nano technology
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniques
 
Nanofabrication techniques
Nanofabrication techniquesNanofabrication techniques
Nanofabrication techniques
 
The scope of nanotechnology
The scope of nanotechnologyThe scope of nanotechnology
The scope of nanotechnology
 
Applications of nanotechnology
Applications of nanotechnology Applications of nanotechnology
Applications of nanotechnology
 
Nano Technology
Nano TechnologyNano Technology
Nano Technology
 
Nanotechnology
Nanotechnology Nanotechnology
Nanotechnology
 
Applications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ckApplications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ck
 
Carbon Nanotubes
Carbon NanotubesCarbon Nanotubes
Carbon Nanotubes
 
NANOTECHNOLOGY
NANOTECHNOLOGYNANOTECHNOLOGY
NANOTECHNOLOGY
 
Nano-technology Seminar
Nano-technology SeminarNano-technology Seminar
Nano-technology Seminar
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
Nano-lithography
Nano-lithographyNano-lithography
Nano-lithography
 
Carbon Nanotubes
Carbon NanotubesCarbon Nanotubes
Carbon Nanotubes
 
Nano electro mechanical systems
Nano electro mechanical systems Nano electro mechanical systems
Nano electro mechanical systems
 
Nanoparticles and sensors applications
Nanoparticles and sensors applicationsNanoparticles and sensors applications
Nanoparticles and sensors applications
 
Nanotechnology-ppt
Nanotechnology-ppt Nanotechnology-ppt
Nanotechnology-ppt
 
Green nanotechnology & its application in biomedical research
Green nanotechnology & its application in biomedical researchGreen nanotechnology & its application in biomedical research
Green nanotechnology & its application in biomedical research
 

Similar to Approaches of nanoelectronics

Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
Rohan Deokar
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by teja
Tejasri Saladi
 
Ele cproject final
Ele cproject finalEle cproject final
Ele cproject final
CHETHANA JOHANS
 
Nanolithography
NanolithographyNanolithography
Nanolithography
karthika namboothiri
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
paneliya sagar
 
PLASMONICS
PLASMONICSPLASMONICS
PLASMONICS
Vijitha J
 
lugli_slides.ppt
lugli_slides.pptlugli_slides.ppt
lugli_slides.ppt
NirmalM15
 
Photonic Materials
Photonic MaterialsPhotonic Materials
Photonic Materials
Gaurav Singh Chandel
 
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
VIKAS KUMAR
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
mashiur
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
mashiur
 
Nano technology
Nano technologyNano technology
Nano technology
NARAHARISRUTHI1
 
Nanotechnology And Its Applications
Nanotechnology And Its ApplicationsNanotechnology And Its Applications
Nanotechnology And Its Applications
mandykhera
 
Nanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic IndustryNanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic Industry
tabirsir
 
he description abouty tech semina,m M< b, M <NBr
he description abouty tech semina,m M< b, M <NBrhe description abouty tech semina,m M< b, M <NBr
he description abouty tech semina,m M< b, M <NBr
balajikoushik07
 
Presentation of Kavya Ullal in ICMCC20151033-webinar
Presentation of Kavya Ullal in ICMCC20151033-webinarPresentation of Kavya Ullal in ICMCC20151033-webinar
Presentation of Kavya Ullal in ICMCC20151033-webinar
ಶ್ರೀಕಾಂತ್ ಹಣಬೆ ಪ್ರಕಾಶ್
 
NANOTECHNOLOGY
NANOTECHNOLOGY NANOTECHNOLOGY
NANOTECHNOLOGY
VIKASH RAWAT
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
Praveen Kumar Meena
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
Techef In
 
My encounter with nanotechnology
My encounter with nanotechnologyMy encounter with nanotechnology
My encounter with nanotechnology
Eternal University Baru Sahib, HP, India
 

Similar to Approaches of nanoelectronics (20)

Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
556 2nd presentation by teja
556 2nd presentation by teja556 2nd presentation by teja
556 2nd presentation by teja
 
Ele cproject final
Ele cproject finalEle cproject final
Ele cproject final
 
Nanolithography
NanolithographyNanolithography
Nanolithography
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
PLASMONICS
PLASMONICSPLASMONICS
PLASMONICS
 
lugli_slides.ppt
lugli_slides.pptlugli_slides.ppt
lugli_slides.ppt
 
Photonic Materials
Photonic MaterialsPhotonic Materials
Photonic Materials
 
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
APPLICATIONS OF NANOTECHNOLOGY IN ELECTRONICS.
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
Nano technology
Nano technologyNano technology
Nano technology
 
Nanotechnology And Its Applications
Nanotechnology And Its ApplicationsNanotechnology And Its Applications
Nanotechnology And Its Applications
 
Nanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic IndustryNanotechnology Presentation For Electronic Industry
Nanotechnology Presentation For Electronic Industry
 
he description abouty tech semina,m M< b, M <NBr
he description abouty tech semina,m M< b, M <NBrhe description abouty tech semina,m M< b, M <NBr
he description abouty tech semina,m M< b, M <NBr
 
Presentation of Kavya Ullal in ICMCC20151033-webinar
Presentation of Kavya Ullal in ICMCC20151033-webinarPresentation of Kavya Ullal in ICMCC20151033-webinar
Presentation of Kavya Ullal in ICMCC20151033-webinar
 
NANOTECHNOLOGY
NANOTECHNOLOGY NANOTECHNOLOGY
NANOTECHNOLOGY
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
My encounter with nanotechnology
My encounter with nanotechnologyMy encounter with nanotechnology
My encounter with nanotechnology
 

Recently uploaded

Nucleophilic Addition of carbonyl compounds.pptx
Nucleophilic Addition of carbonyl  compounds.pptxNucleophilic Addition of carbonyl  compounds.pptx
Nucleophilic Addition of carbonyl compounds.pptx
SSR02
 
Micronuclei test.M.sc.zoology.fisheries.
Micronuclei test.M.sc.zoology.fisheries.Micronuclei test.M.sc.zoology.fisheries.
Micronuclei test.M.sc.zoology.fisheries.
Aditi Bajpai
 
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptxThe use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
MAGOTI ERNEST
 
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
Travis Hills MN
 
Eukaryotic Transcription Presentation.pptx
Eukaryotic Transcription Presentation.pptxEukaryotic Transcription Presentation.pptx
Eukaryotic Transcription Presentation.pptx
RitabrataSarkar3
 
THEMATIC APPERCEPTION TEST(TAT) cognitive abilities, creativity, and critic...
THEMATIC  APPERCEPTION  TEST(TAT) cognitive abilities, creativity, and critic...THEMATIC  APPERCEPTION  TEST(TAT) cognitive abilities, creativity, and critic...
THEMATIC APPERCEPTION TEST(TAT) cognitive abilities, creativity, and critic...
Abdul Wali Khan University Mardan,kP,Pakistan
 
Oedema_types_causes_pathophysiology.pptx
Oedema_types_causes_pathophysiology.pptxOedema_types_causes_pathophysiology.pptx
Oedema_types_causes_pathophysiology.pptx
muralinath2
 
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
AbdullaAlAsif1
 
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptxANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
RASHMI M G
 
Thornton ESPP slides UK WW Network 4_6_24.pdf
Thornton ESPP slides UK WW Network 4_6_24.pdfThornton ESPP slides UK WW Network 4_6_24.pdf
Thornton ESPP slides UK WW Network 4_6_24.pdf
European Sustainable Phosphorus Platform
 
Deep Software Variability and Frictionless Reproducibility
Deep Software Variability and Frictionless ReproducibilityDeep Software Variability and Frictionless Reproducibility
Deep Software Variability and Frictionless Reproducibility
University of Rennes, INSA Rennes, Inria/IRISA, CNRS
 
Cytokines and their role in immune regulation.pptx
Cytokines and their role in immune regulation.pptxCytokines and their role in immune regulation.pptx
Cytokines and their role in immune regulation.pptx
Hitesh Sikarwar
 
BREEDING METHODS FOR DISEASE RESISTANCE.pptx
BREEDING METHODS FOR DISEASE RESISTANCE.pptxBREEDING METHODS FOR DISEASE RESISTANCE.pptx
BREEDING METHODS FOR DISEASE RESISTANCE.pptx
RASHMI M G
 
molar-distalization in orthodontics-seminar.pptx
molar-distalization in orthodontics-seminar.pptxmolar-distalization in orthodontics-seminar.pptx
molar-distalization in orthodontics-seminar.pptx
Anagha Prasad
 
aziz sancar nobel prize winner: from mardin to nobel
aziz sancar nobel prize winner: from mardin to nobelaziz sancar nobel prize winner: from mardin to nobel
aziz sancar nobel prize winner: from mardin to nobel
İsa Badur
 
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
Ana Luísa Pinho
 
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdfTopic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
TinyAnderson
 
What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.
moosaasad1975
 
Shallowest Oil Discovery of Turkiye.pptx
Shallowest Oil Discovery of Turkiye.pptxShallowest Oil Discovery of Turkiye.pptx
Shallowest Oil Discovery of Turkiye.pptx
Gokturk Mehmet Dilci
 
The debris of the ‘last major merger’ is dynamically young
The debris of the ‘last major merger’ is dynamically youngThe debris of the ‘last major merger’ is dynamically young
The debris of the ‘last major merger’ is dynamically young
Sérgio Sacani
 

Recently uploaded (20)

Nucleophilic Addition of carbonyl compounds.pptx
Nucleophilic Addition of carbonyl  compounds.pptxNucleophilic Addition of carbonyl  compounds.pptx
Nucleophilic Addition of carbonyl compounds.pptx
 
Micronuclei test.M.sc.zoology.fisheries.
Micronuclei test.M.sc.zoology.fisheries.Micronuclei test.M.sc.zoology.fisheries.
Micronuclei test.M.sc.zoology.fisheries.
 
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptxThe use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
The use of Nauplii and metanauplii artemia in aquaculture (brine shrimp).pptx
 
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
Travis Hills' Endeavors in Minnesota: Fostering Environmental and Economic Pr...
 
Eukaryotic Transcription Presentation.pptx
Eukaryotic Transcription Presentation.pptxEukaryotic Transcription Presentation.pptx
Eukaryotic Transcription Presentation.pptx
 
THEMATIC APPERCEPTION TEST(TAT) cognitive abilities, creativity, and critic...
THEMATIC  APPERCEPTION  TEST(TAT) cognitive abilities, creativity, and critic...THEMATIC  APPERCEPTION  TEST(TAT) cognitive abilities, creativity, and critic...
THEMATIC APPERCEPTION TEST(TAT) cognitive abilities, creativity, and critic...
 
Oedema_types_causes_pathophysiology.pptx
Oedema_types_causes_pathophysiology.pptxOedema_types_causes_pathophysiology.pptx
Oedema_types_causes_pathophysiology.pptx
 
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
Unlocking the mysteries of reproduction: Exploring fecundity and gonadosomati...
 
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptxANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
ANAMOLOUS SECONDARY GROWTH IN DICOT ROOTS.pptx
 
Thornton ESPP slides UK WW Network 4_6_24.pdf
Thornton ESPP slides UK WW Network 4_6_24.pdfThornton ESPP slides UK WW Network 4_6_24.pdf
Thornton ESPP slides UK WW Network 4_6_24.pdf
 
Deep Software Variability and Frictionless Reproducibility
Deep Software Variability and Frictionless ReproducibilityDeep Software Variability and Frictionless Reproducibility
Deep Software Variability and Frictionless Reproducibility
 
Cytokines and their role in immune regulation.pptx
Cytokines and their role in immune regulation.pptxCytokines and their role in immune regulation.pptx
Cytokines and their role in immune regulation.pptx
 
BREEDING METHODS FOR DISEASE RESISTANCE.pptx
BREEDING METHODS FOR DISEASE RESISTANCE.pptxBREEDING METHODS FOR DISEASE RESISTANCE.pptx
BREEDING METHODS FOR DISEASE RESISTANCE.pptx
 
molar-distalization in orthodontics-seminar.pptx
molar-distalization in orthodontics-seminar.pptxmolar-distalization in orthodontics-seminar.pptx
molar-distalization in orthodontics-seminar.pptx
 
aziz sancar nobel prize winner: from mardin to nobel
aziz sancar nobel prize winner: from mardin to nobelaziz sancar nobel prize winner: from mardin to nobel
aziz sancar nobel prize winner: from mardin to nobel
 
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
Deep Behavioral Phenotyping in Systems Neuroscience for Functional Atlasing a...
 
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdfTopic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
Topic: SICKLE CELL DISEASE IN CHILDREN-3.pdf
 
What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.
 
Shallowest Oil Discovery of Turkiye.pptx
Shallowest Oil Discovery of Turkiye.pptxShallowest Oil Discovery of Turkiye.pptx
Shallowest Oil Discovery of Turkiye.pptx
 
The debris of the ‘last major merger’ is dynamically young
The debris of the ‘last major merger’ is dynamically youngThe debris of the ‘last major merger’ is dynamically young
The debris of the ‘last major merger’ is dynamically young
 

Approaches of nanoelectronics

  • 1. Approaches of Nanoelectronics Presented by Aravinth II-MSc Nanoscience and Technology Alagappa University, Karaikudi
  • 2. Nanoelectronics • Nanoelectronics refer to the use of nanotechnology in electronic components • Make use of scientific methods at atomic scale for developing the Nanomachines • Main target is reduce the size, risk factor and surface area of the materials and molecules
  • 3. Moore predicted that this trend would continue for the foreseeable future. Moore’s Law
  • 4.
  • 5. Semiconductor Road Map • The International Road Map Technology of Semiconductors (IRTS) is an assessment of the semiconductor industry’s technology requirement. • IRTS is advance performance of integrated circuits and remove roadblocks to the continuation of Moore’s Law.
  • 6. The “Top-Down” Approach • A Top-Down approach is essentially the breaking down of a system to gain insight into its compositional sub-systems. • Each sub-systems is then refined in yet greater detail, sometimes in many additional sub-system levels, until the entire specification is reduced to base elements. • The invention of transistor in 1947. The first transistor was a bipolar device consisting of two sharp metal wires. size year 100µm 1960 1µm 1980 130nm 2001 90nm 2003 60nm 2005 45nm 2007 32nm 2010 16nm 2017 10nm 2018 7nm 2019
  • 7. • In the bottom-up approach, molecular components arrange themselves into more complex assemblies atom-by-atom, molecule-by-molecule, Cluster-by-cluster from the bottom • These clusters come together to form self assembled monolayers on the surface of substrate • Fabrication is much less expensive Bottom-Up Approach
  • 8. Nanolithography Nanolithography is used during the fabrication of leading –edge semiconductor integrated circuits (nanocircuitry) or nanoelectromechanical system (NEMS) Nanolithography is that branch of Nanotechnology, which deals with the study And applications of fabrication of nanoscale structures like semiconductor circuits. There are many types of lithography; • Optical Lithography • X-ray Lithography • Magneto Lithography • Extreme Ultraviolet Lithography • Immersion Lithography
  • 9. Optical Lithography (or) Photolithography or UV lithography • It is most commonly used nanolithography. • Selectively remove the parts of a thin films or the bulk of a substrate. • It uses light to transfer a geometric pattern from a photo mask to a light sensitive chemical photo resist on the substrate • A modern CMOS will go through the photolithographic cycle up to 50 times. X-Ray lithography
  • 10. Magneto Lithography • ML based on applying a magnetic field on the substrate using paramagnetic metal masks named "magnetic mask". • The second component of the process is ferromagnetic nanoparticles • It advantage is producing multilayer with high accuracy of alignment and with the same efficiency for all layers.
  • 11. Extreme ultraviolet lithography Extreme ultraviolet lithography is a next-generation lithography technology using a range of extreme ultraviolet (EUV) wavelengths EUV is currently being developed for high volume use by 2020. EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. EUV radiation (red) reflected from the mask pattern is absorbed in the resist (yellow) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation. Image formation mechanism in EUV lithography
  • 12. Immersion lithography Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one.
  • 13. Innovative nanoelectronic devices: There are numerous devolvement in the field of nanoelectronics, just to list few: Carbon nanotube devices (CNT devices) The first application of CNT’s are wiring of microelectronic circuits and its uses as field emitters for high resolution flat banal displays and other is carbon nanotube transistors. Nanowires: Semiconductor nanowires are used in electronic devices including field emitting transistors, sensors, detectors and light emitting diodes. Advantages of nanoelectronics: • Compact • Less energy consumption • More efficient • Enhanced device, better security and many more Application of Nanoelectronics: • Wearable, flexible electronics • Optoelectronics • Bioelectronics • Energy storage devices • Nano structured sensors