SlideShare a Scribd company logo
1 of 4
Download to read offline
S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13
www.ijera.com 10 | P a g e
Design for Testability in Timely Testing of Vlsi Circuits
S. Asvini, Mrs.C.Nirmala
M.E(Applied Electronics)
A.P/ ECE, Sriram engineering college, Thiruvallur district, Tamilnadu, India.
Abstract
Even though a circuit is designed error-free, manufactured circuits may not function correctly. Since the
manufacturing process is not perfect, some defects such as short-circuits, open-circuits, open interconnections,
pin shorts, etc., may be introduced. Points out that the cost of detecting a faulty component increases ten times at
each step between prepackage component test and system warranty repair. It is important to identify a faulty
component as early in the manufacturing process as possible. Therefore, testing has become a very important
aspect of any VLSI manufacturing system.Two main issues related to test and security domain are scan-based
attacks and misuse of JTAG interface. Design for testability presents effective and timely testing of VLSI
circuits. The project is to test the circuits after design and then reduce the area, power, delay and security of
misuse. BIST architecture is used to test the circuits effectively compared to scan based testing. In built-in self-
test (BIST), on-chip circuitry is added to generate test vectors or analyze output responses or both. BIST is
usually performed using pseudorandom pattern generators (PRPGs). Among the advantages of pseudorandom
BIST are: (1) the low cost compared to testing from automatic test equipment (ATE). (2) The speed of the test,
which is much faster than when it is applied from ATE. (3) The applicability of the test while the circuit is in the
field, and (4) the potential for high quality of test.
Keyword: Testing, scan-based attacks, misuse of JTAG interface, BIST.
I. INTRODUCTION
Generally more than one million tests needed to
obtain a good fault coverage so testing is very
essential to find faulty and good circuits that
produces a quality of product. Design for testability
(DFT) improves the testability, diagnostics, test time
and reduces number of required test pins. Harmful
users can use the scan chain to observe confidential
data stored in devices by using standard test interface
such as JTAG and IEEE 1500.These test interfaces
were initially developed for testing printed circuit
board or system on chip internal modules but
nowadays it is widely used for debugging purposes.
VLSI circuits are tested by applying test patterns
to the circuit under test (CUT) and comparing the
response of the circuit to the good circuit response,
which is obtained by simulation. Design for
testability (DFT) techniques are used to improve the
controllability (the ability to set the node at a certain
value) and the observability (the ability to propagate
the value of a node to an observable output) of
internal nodes in digital circuits. Among the widely
used DFT techniques are scan-path techniques. In
scan-path techniques, the circuit is designed to have
two modes of operation, namely, a normal functional
mode and a test mode. In the test mode, the bistables
(the memory elements in the circuit) are
interconnected into a shift register. In test mode, it is
possible to shift an arbitrary test pattern in the
bistables. By going back to the functional mode for
one clock pulse, the response of the circuit to the test
pattern is latched into the bistables. The circuit can
then be placed back in test mode to concurrently shift
the response out of the chain and shift a new pattern
into the chain. The addition of on-chip circuitry to
provide test vectors or to analyze output responses is
called built-in self-test (BIST) The pattern generation
in BIST is usually done using linear feedback shift
registers (LFSRs) or cellular automata (CA).
II. ROLE OF TESTING IN VLSI
DESIGN
Even though a circuit is designed error-free,
manufactured circuits may not function correctly.
Since the manufacturing process is not perfect, some
defects such as short circuits, open-circuits, open
interconnections, pin shorts, etc., may be introduced.
Points out that the cost of detecting a faulty
component increases ten times at each step between
prepackage component test and system warranty
repair. It is important to identify a faulty component
as early in the manufacturing process as possible.
Therefore, testing has become a very important
aspect of any VLSI manufacturing system.
The testing of digital logic involves the
application of the appropriate stimuli to a Device
Under-Test (DUT) and the comparison of the
resulting response to the expected one.
Manufacturing defects tend to alter the circuit
behavior and, therefore, when the response of a DUT
does not match the expected response, it is
RESEARCH ARTICLE OPEN ACCESS
S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13
www.ijera.com 11 | P a g e
considered faulty. For digital circuits, the stimuli are
sequences of logic levels 0 and 1, called test patterns
or vector that are applied to the inputs of the circuit.
Test pattern generation is a complex process with
three main aspects: the cost of test generation, the
cost of test application and the quality of test.
III. SCAN-BASED ATTACKS
The insertion of scan chains consists of replacing
the flip- flops (FFs) of the design by scan flip-flops
(SFFs) and connecting these SFFs into a shift-
register, called scan chain. The scan chain is bound to
a input pin (scan-in) and to an output pin (scan-out).
An extra pin called scan-enable should be added to
control the scan chain’s data shifting. If the scan-
enable is set to 0, the SFFs are connected to the
circuit to behave as functionally expected (functional
mode). When the scan-enable is set to 1, the SFFs are
connected to the scan chain, and the bitstream at the
scan-in is shifted in while the data stored in the SFFs
is shifted out through the scan-out pin. By controlling
the scan-in and scan-enable inputs and observing the
scan-out pin, and attacker can observe confi- dential
data or corrupt internal states. Fig. 1 illustrates the
duality between test and security. While the test
engineer uses the scan chains to shift-in input
patterns and shift-out response vectors, the attacker
may shift-out confidential data (observability attacks)
and shift-in corrupted data (controllability attacks).
Figure 1 scan based attacks
IV. BIST ARCHITECTURE
Figure 2 Block diagram of BIST architecture
Test pattern generation generates test patterns
with the help of 2:1 MUX and LFSR. It accepts two
inputs and produces one output to circuit under test.
Circuit under test produces output to test response
analysis before that any fault is detected it is just fed
back into the BIST control unit and act as a feedback
circuit until test end signal is applied. Test response
analysis produces good/fault free output.
Advantages
 Reduces testing time.
 Test application time and total energy dissipation
during test are improved.
 It reduces delay & power.
V. INTERNAL DIAGRAM OF BIST
ARCHITECTURE
Figure 3 internal diagram
S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13
www.ijera.com 12 | P a g e
A.BIST CONTROLLER
Whenever an IC is powered up(signal start BIST
is made active) the test controller starts the
procedure.once the test is over,the status line is made
high if fault is found. Following that, the controller
connects the primary inputs to the CUT via the
multiplexer, thus making it ready for operation.
B.LFSR
Linear feedback shift register act as a feedback
circuit. output of CUT is fed back into the LFSR if
any faulty or non responsible output present in the
CUT output.LFSR reduces the peak power by
connecting multiplexer on the LFSR register.LFSR
reduces the switching activity in the inputs of the
Circuit Under Test.
C.TEST PATTERN GENERATION
Test patterns are generated in the output of
multiplexer. The test patterns required to sensitize the
faults and then propagate the effect to the outputs of
the CUT.
D.CIRCUIT UNDER TEST
Circuit under test produces the primary output
which is faulty or any non responsible output.Then
the output is fed back into the LFSR in which it act as
a feedback circuit, until the test end signal is
applied.Remaining outputs are fed into output
response compactor.
E.OUTPUT RESPONSE COMPACTOR
Output response compactor performs lossy
compression of the outputs of the CUT.Then it
produces a fault free signature which describes the
good circuit response.During BIST, large amount of
data in CUT responses are applied to Response
compactor. For example, if we consider a circuit of
200 outputs and if we want to generate 5 million
random patterns, then the CUT response to Response
compactor will be 1 billion bits. This is not
manageable in practice. So it is necessary to compact
this enormous amount of circuit responses to a
manageable size that can be stored on the chip. The
response analyzer compresses a very long test
response into a single word. Such a word is called a
signature. The signature is then compared with the
pre-stored reference signature from ROM with
compacted response. If the signature matches the
reference copy, the CUT is regarded fault-free.
Otherwise, it is faulty.
F.READ ONLY MEMORY
It has reference signature that needs to be
compared with the compacted CUT response.
G.COMPARATOR
Hardware to compare compacted CUT response
and reference signature (from ROM) and produces
the status of the circuit which is good & fault free
circuit.
VI. CONCLUSION
S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13
www.ijera.com 13 | P a g e
In this paper, we have minimized the
area,power,delay compared with existing system and
simulationresults to find faulty or good circuit by
using modelsim –Altera 6.4a software. Advantage of
this project is area, power, delay parameters are
minimized, testing time is reduced test application
time and total energy dissipation during test are
improved.
VII. ACKNOWLEDGE
S. Asviniwould like to thank everyone,
including: parents, teachers, family, friends, and in
essence, all sentient beings for their help and support
this paper would not have been possible.Especially,I
dedicate my acknowledgment of gratitude toward my
mentor and Co-author S. Arthy for his guidance and
support.
REFERENCE
[1] (1994). Federal Information Processing
Standards Publication 140-2: Security
Requirements for Cryptographic Modules
[Online].
Available:http://csrc.nist.gov/publications/_
ps/_ps140-2/_ps1402.pdf
[2] D. Hely, M.-L. Flottes, F. Bancel, B.
Rouzeyre, N. Berard, andM. Renovell,
``Scan design and secure chip [secure IC
testing],'' in Proc. 10th IEEE IOLTS, Jul.
2004, pp. 219_224.
[3] J. Lee, M. Tehranipoor, C. Patel, and J.
Plusquellic, ``Securing designs against
scan-based side-channel attacks,'' IEEE
Trans. Dependable SecureComput., vol. 4,
no. 4, pp. 325_336, Oct. 2007.
[4] J. Da Rolt, G. Di Natale, M. Flottes, and B.
Rouzeyre, ``A novel differential scan attack
on advanced DFT structures,'' ACM Trans.
Des. Autom. Electron. Syst., vol. 18, no. 4,
p. 58, Oct. 2013.
[5] B.Yang, K.Wu, and R. Karri, ``Scan based
side channel attack on dedicated hardware
implementations of data encryption
standard,'' in Proc. ITC, Oct. 2004, pp.
339_344.
[6] B. Yang, K.Wu, and R. Karri, ``Secure
scan: A design-for-test architecture for
crypto chips,'' IEEE Trans. Comput.-Aided
Des. Integr. Circuits Syst., vol. 25, no. 10,
pp. 2287_2293, Oct. 2006.
[7] Y. Liu, K. Wu, and R. Karri, ``Scan-based
attacks on linear feedback shift register
based stream ciphers,'' ACM Trans. Des.
Autom. Electron. Syst., vol. 16, no. 2, pp.
1_15, Mar. 2011.
[8] R. Nara, K. Satoh, M. Yanagisawa, T.
Ohtsuki, and N. Togawa, ``Scanbased side-
channel attack against RSA cryptosystems
using scan signatures,'' IEICE Trans.
Fundam. Electron.,Commun. Comput. Sci.,
vol. E93- A, no. 12, pp. 2481_2489, Dec.
2010.
[9] R. Nara, N. Togawa, M. Yanagisawa, and T.
Ohtsuki, ``Scan-based attack against elliptic
curve cryptosystems,'' in Proc. 15th ASP-
DAC, Jan. 2010, pp. 407_412.
[10] J. Rajski, J. Tyszer, M. Kassab, and N.
Mukherjee, ``Embedded deterministic test,''
IEEE Trans. Comput.-Aided Des. Integr.
Circuits Syst., vol. 23,no. 5, pp. 776_792,
May 2004.

More Related Content

What's hot

Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...ijcisjournal
 
Formula Innovation by SMC RAPTOR C-35 Manual
Formula Innovation by SMC RAPTOR C-35  ManualFormula Innovation by SMC RAPTOR C-35  Manual
Formula Innovation by SMC RAPTOR C-35 ManualAngus Sankaran
 
HYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection WebinarHYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection WebinarEtienne Leduc
 
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...ISA Interchange
 
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET Journal
 
IRJET- PCB Test, Debug & Programming Made Easy with Universal Test Jig
IRJET-  	  PCB Test, Debug & Programming Made Easy with Universal Test JigIRJET-  	  PCB Test, Debug & Programming Made Easy with Universal Test Jig
IRJET- PCB Test, Debug & Programming Made Easy with Universal Test JigIRJET Journal
 
Software Testing Data Kart and Integrated Pipeline Approach
Software Testing Data Kart and Integrated Pipeline ApproachSoftware Testing Data Kart and Integrated Pipeline Approach
Software Testing Data Kart and Integrated Pipeline ApproachYogeshIJTSRD
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swethaswethamg18
 
Testing strategies for electronic components
Testing strategies for electronic componentsTesting strategies for electronic components
Testing strategies for electronic componentsDepEd-Bataan
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systemsdennis gookyi
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSPraveen Kumar
 
Agilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerAgilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerInterlatin
 
A real time instrumentation approach for bridges and tunnels
A real time instrumentation approach for bridges and tunnelsA real time instrumentation approach for bridges and tunnels
A real time instrumentation approach for bridges and tunnelsDerya Dinçer
 
IRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET Journal
 
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor Arrays
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor ArraysIRJET- A Review on Wireless Sensor System of Fault Detection of Motor Arrays
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor ArraysIRJET Journal
 
trojan detection
trojan detectiontrojan detection
trojan detectionSRI NISHITH
 

What's hot (20)

Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Formula Innovation by SMC RAPTOR C-35 Manual
Formula Innovation by SMC RAPTOR C-35  ManualFormula Innovation by SMC RAPTOR C-35  Manual
Formula Innovation by SMC RAPTOR C-35 Manual
 
HYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection WebinarHYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection Webinar
 
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...
PWM-Switching pattern-based diagnosis scheme for single and multiple open-swi...
 
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
 
SNUG 2011 paper
SNUG 2011 paperSNUG 2011 paper
SNUG 2011 paper
 
IRJET- PCB Test, Debug & Programming Made Easy with Universal Test Jig
IRJET-  	  PCB Test, Debug & Programming Made Easy with Universal Test JigIRJET-  	  PCB Test, Debug & Programming Made Easy with Universal Test Jig
IRJET- PCB Test, Debug & Programming Made Easy with Universal Test Jig
 
Software Testing Data Kart and Integrated Pipeline Approach
Software Testing Data Kart and Integrated Pipeline ApproachSoftware Testing Data Kart and Integrated Pipeline Approach
Software Testing Data Kart and Integrated Pipeline Approach
 
Micro unit 8
Micro unit 8Micro unit 8
Micro unit 8
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
 
Testing strategies for electronic components
Testing strategies for electronic componentsTesting strategies for electronic components
Testing strategies for electronic components
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
 
Agilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerAgilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan Analyzer
 
A real time instrumentation approach for bridges and tunnels
A real time instrumentation approach for bridges and tunnelsA real time instrumentation approach for bridges and tunnels
A real time instrumentation approach for bridges and tunnels
 
Gopi's mcs lab man
Gopi's mcs lab manGopi's mcs lab man
Gopi's mcs lab man
 
IRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller Board
 
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor Arrays
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor ArraysIRJET- A Review on Wireless Sensor System of Fault Detection of Motor Arrays
IRJET- A Review on Wireless Sensor System of Fault Detection of Motor Arrays
 
trojan detection
trojan detectiontrojan detection
trojan detection
 

Viewers also liked

Fabrication of aluminum foam from aluminum scrap
Fabrication of aluminum foam from aluminum scrapFabrication of aluminum foam from aluminum scrap
Fabrication of aluminum foam from aluminum scrapIJERA Editor
 
Информационные технологии в деятельности психолога практика: повышение квалиф...
Информационные технологии в деятельности психолога практика: повышение квалиф...Информационные технологии в деятельности психолога практика: повышение квалиф...
Информационные технологии в деятельности психолога практика: повышение квалиф...Ilya Perminov
 
臺北科技大學校外實習合作合約書(2014大學)
臺北科技大學校外實習合作合約書(2014大學)臺北科技大學校外實習合作合約書(2014大學)
臺北科技大學校外實習合作合約書(2014大學)ntut-iem
 
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...IJERA Editor
 
A Novel Approach for Moving Object Detection from Dynamic Background
A Novel Approach for Moving Object Detection from Dynamic BackgroundA Novel Approach for Moving Object Detection from Dynamic Background
A Novel Approach for Moving Object Detection from Dynamic BackgroundIJERA Editor
 
Самара. 70 лет Великой Победы! Туристические маршруты к юбилею
Самара. 70 лет Великой Победы! Туристические маршруты к юбилеюСамара. 70 лет Великой Победы! Туристические маршруты к юбилею
Самара. 70 лет Великой Победы! Туристические маршруты к юбилеюAndrei Astashkin
 
Chớ xem thường các cơn đau nửa đầu, nhức đầu
Chớ xem thường các cơn đau nửa đầu, nhức đầuChớ xem thường các cơn đau nửa đầu, nhức đầu
Chớ xem thường các cơn đau nửa đầu, nhức đầubryant750
 
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block Algorithm
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block AlgorithmData Back-Up and Recovery Techniques for Cloud Server Using Seed Block Algorithm
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block AlgorithmIJERA Editor
 
Comparison of Windows and Linux Operating Systems in Advanced Features
Comparison of Windows and Linux Operating Systems in Advanced FeaturesComparison of Windows and Linux Operating Systems in Advanced Features
Comparison of Windows and Linux Operating Systems in Advanced FeaturesIJERA Editor
 
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...IJERA Editor
 
Brian Raafiu Prakiraan jumlah produksi
Brian Raafiu Prakiraan jumlah  produksiBrian Raafiu Prakiraan jumlah  produksi
Brian Raafiu Prakiraan jumlah produksiBrian Raafiu
 
Content based Image Retrieval from Forensic Image Databases
Content based Image Retrieval from Forensic Image DatabasesContent based Image Retrieval from Forensic Image Databases
Content based Image Retrieval from Forensic Image DatabasesIJERA Editor
 
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...IJERA Editor
 
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...The Inception and Evolution of EIA and Environmental Clearance Process – Layi...
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...IJERA Editor
 
A Review and study of the design technique of Microstrip Patch Antenna Techno...
A Review and study of the design technique of Microstrip Patch Antenna Techno...A Review and study of the design technique of Microstrip Patch Antenna Techno...
A Review and study of the design technique of Microstrip Patch Antenna Techno...IJERA Editor
 
A Brief Study of Video Encryption Algorithms
A Brief Study of Video Encryption AlgorithmsA Brief Study of Video Encryption Algorithms
A Brief Study of Video Encryption AlgorithmsIJERA Editor
 
Numerical simulation of Pressure Drop through a Compact Helical geometry
Numerical simulation of Pressure Drop through a Compact Helical geometryNumerical simulation of Pressure Drop through a Compact Helical geometry
Numerical simulation of Pressure Drop through a Compact Helical geometryIJERA Editor
 

Viewers also liked (20)

Fabrication of aluminum foam from aluminum scrap
Fabrication of aluminum foam from aluminum scrapFabrication of aluminum foam from aluminum scrap
Fabrication of aluminum foam from aluminum scrap
 
Информационные технологии в деятельности психолога практика: повышение квалиф...
Информационные технологии в деятельности психолога практика: повышение квалиф...Информационные технологии в деятельности психолога практика: повышение квалиф...
Информационные технологии в деятельности психолога практика: повышение квалиф...
 
臺北科技大學校外實習合作合約書(2014大學)
臺北科技大學校外實習合作合約書(2014大學)臺北科技大學校外實習合作合約書(2014大學)
臺北科技大學校外實習合作合約書(2014大學)
 
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...
A Stochastic Model of Reliability for Bivariate Gamma Distributions with the ...
 
A Novel Approach for Moving Object Detection from Dynamic Background
A Novel Approach for Moving Object Detection from Dynamic BackgroundA Novel Approach for Moving Object Detection from Dynamic Background
A Novel Approach for Moving Object Detection from Dynamic Background
 
Самара. 70 лет Великой Победы! Туристические маршруты к юбилею
Самара. 70 лет Великой Победы! Туристические маршруты к юбилеюСамара. 70 лет Великой Победы! Туристические маршруты к юбилею
Самара. 70 лет Великой Победы! Туристические маршруты к юбилею
 
Chớ xem thường các cơn đau nửa đầu, nhức đầu
Chớ xem thường các cơn đau nửa đầu, nhức đầuChớ xem thường các cơn đau nửa đầu, nhức đầu
Chớ xem thường các cơn đau nửa đầu, nhức đầu
 
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block Algorithm
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block AlgorithmData Back-Up and Recovery Techniques for Cloud Server Using Seed Block Algorithm
Data Back-Up and Recovery Techniques for Cloud Server Using Seed Block Algorithm
 
Comparison of Windows and Linux Operating Systems in Advanced Features
Comparison of Windows and Linux Operating Systems in Advanced FeaturesComparison of Windows and Linux Operating Systems in Advanced Features
Comparison of Windows and Linux Operating Systems in Advanced Features
 
3
33
3
 
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...
Investigative Compression Of Lossy Images By Enactment Of Lattice Vector Quan...
 
Brian Raafiu Prakiraan jumlah produksi
Brian Raafiu Prakiraan jumlah  produksiBrian Raafiu Prakiraan jumlah  produksi
Brian Raafiu Prakiraan jumlah produksi
 
Content based Image Retrieval from Forensic Image Databases
Content based Image Retrieval from Forensic Image DatabasesContent based Image Retrieval from Forensic Image Databases
Content based Image Retrieval from Forensic Image Databases
 
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...
The Analysis of Romanticism Traces in Akhavan Sales Poetry, Focusing on his P...
 
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...The Inception and Evolution of EIA and Environmental Clearance Process – Layi...
The Inception and Evolution of EIA and Environmental Clearance Process – Layi...
 
Classic Rock
Classic Rock Classic Rock
Classic Rock
 
A Review and study of the design technique of Microstrip Patch Antenna Techno...
A Review and study of the design technique of Microstrip Patch Antenna Techno...A Review and study of the design technique of Microstrip Patch Antenna Techno...
A Review and study of the design technique of Microstrip Patch Antenna Techno...
 
A Brief Study of Video Encryption Algorithms
A Brief Study of Video Encryption AlgorithmsA Brief Study of Video Encryption Algorithms
A Brief Study of Video Encryption Algorithms
 
Numerical simulation of Pressure Drop through a Compact Helical geometry
Numerical simulation of Pressure Drop through a Compact Helical geometryNumerical simulation of Pressure Drop through a Compact Helical geometry
Numerical simulation of Pressure Drop through a Compact Helical geometry
 
ante-projeto PU
ante-projeto PUante-projeto PU
ante-projeto PU
 

Similar to Design for Testability in Timely Testing of Vlsi Circuits

IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock GatingIRJET Journal
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
IRJET- A Unique Methodology for Transmission Line Breakage Detection and ...
IRJET-  	  A Unique Methodology for Transmission Line Breakage Detection and ...IRJET-  	  A Unique Methodology for Transmission Line Breakage Detection and ...
IRJET- A Unique Methodology for Transmission Line Breakage Detection and ...IRJET Journal
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET Journal
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...ijcisjournal
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sramiosrjce
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET Journal
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeIJMTST Journal
 
Automatic Fault Detection System with IOT Based
Automatic Fault Detection System with IOT BasedAutomatic Fault Detection System with IOT Based
Automatic Fault Detection System with IOT BasedYogeshIJTSRD
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET Journal
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...IJERA Editor
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCUIRJET Journal
 

Similar to Design for Testability in Timely Testing of Vlsi Circuits (20)

IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
 
H010613642
H010613642H010613642
H010613642
 
Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
IRJET- A Unique Methodology for Transmission Line Breakage Detection and ...
IRJET-  	  A Unique Methodology for Transmission Line Breakage Detection and ...IRJET-  	  A Unique Methodology for Transmission Line Breakage Detection and ...
IRJET- A Unique Methodology for Transmission Line Breakage Detection and ...
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sram
 
40120140506006
4012014050600640120140506006
40120140506006
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
Cg34503507
Cg34503507Cg34503507
Cg34503507
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
 
Automatic Fault Detection System with IOT Based
Automatic Fault Detection System with IOT BasedAutomatic Fault Detection System with IOT Based
Automatic Fault Detection System with IOT Based
 
C044061518
C044061518C044061518
C044061518
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 

Recently uploaded

Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 

Recently uploaded (20)

Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 

Design for Testability in Timely Testing of Vlsi Circuits

  • 1. S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13 www.ijera.com 10 | P a g e Design for Testability in Timely Testing of Vlsi Circuits S. Asvini, Mrs.C.Nirmala M.E(Applied Electronics) A.P/ ECE, Sriram engineering college, Thiruvallur district, Tamilnadu, India. Abstract Even though a circuit is designed error-free, manufactured circuits may not function correctly. Since the manufacturing process is not perfect, some defects such as short-circuits, open-circuits, open interconnections, pin shorts, etc., may be introduced. Points out that the cost of detecting a faulty component increases ten times at each step between prepackage component test and system warranty repair. It is important to identify a faulty component as early in the manufacturing process as possible. Therefore, testing has become a very important aspect of any VLSI manufacturing system.Two main issues related to test and security domain are scan-based attacks and misuse of JTAG interface. Design for testability presents effective and timely testing of VLSI circuits. The project is to test the circuits after design and then reduce the area, power, delay and security of misuse. BIST architecture is used to test the circuits effectively compared to scan based testing. In built-in self- test (BIST), on-chip circuitry is added to generate test vectors or analyze output responses or both. BIST is usually performed using pseudorandom pattern generators (PRPGs). Among the advantages of pseudorandom BIST are: (1) the low cost compared to testing from automatic test equipment (ATE). (2) The speed of the test, which is much faster than when it is applied from ATE. (3) The applicability of the test while the circuit is in the field, and (4) the potential for high quality of test. Keyword: Testing, scan-based attacks, misuse of JTAG interface, BIST. I. INTRODUCTION Generally more than one million tests needed to obtain a good fault coverage so testing is very essential to find faulty and good circuits that produces a quality of product. Design for testability (DFT) improves the testability, diagnostics, test time and reduces number of required test pins. Harmful users can use the scan chain to observe confidential data stored in devices by using standard test interface such as JTAG and IEEE 1500.These test interfaces were initially developed for testing printed circuit board or system on chip internal modules but nowadays it is widely used for debugging purposes. VLSI circuits are tested by applying test patterns to the circuit under test (CUT) and comparing the response of the circuit to the good circuit response, which is obtained by simulation. Design for testability (DFT) techniques are used to improve the controllability (the ability to set the node at a certain value) and the observability (the ability to propagate the value of a node to an observable output) of internal nodes in digital circuits. Among the widely used DFT techniques are scan-path techniques. In scan-path techniques, the circuit is designed to have two modes of operation, namely, a normal functional mode and a test mode. In the test mode, the bistables (the memory elements in the circuit) are interconnected into a shift register. In test mode, it is possible to shift an arbitrary test pattern in the bistables. By going back to the functional mode for one clock pulse, the response of the circuit to the test pattern is latched into the bistables. The circuit can then be placed back in test mode to concurrently shift the response out of the chain and shift a new pattern into the chain. The addition of on-chip circuitry to provide test vectors or to analyze output responses is called built-in self-test (BIST) The pattern generation in BIST is usually done using linear feedback shift registers (LFSRs) or cellular automata (CA). II. ROLE OF TESTING IN VLSI DESIGN Even though a circuit is designed error-free, manufactured circuits may not function correctly. Since the manufacturing process is not perfect, some defects such as short circuits, open-circuits, open interconnections, pin shorts, etc., may be introduced. Points out that the cost of detecting a faulty component increases ten times at each step between prepackage component test and system warranty repair. It is important to identify a faulty component as early in the manufacturing process as possible. Therefore, testing has become a very important aspect of any VLSI manufacturing system. The testing of digital logic involves the application of the appropriate stimuli to a Device Under-Test (DUT) and the comparison of the resulting response to the expected one. Manufacturing defects tend to alter the circuit behavior and, therefore, when the response of a DUT does not match the expected response, it is RESEARCH ARTICLE OPEN ACCESS
  • 2. S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13 www.ijera.com 11 | P a g e considered faulty. For digital circuits, the stimuli are sequences of logic levels 0 and 1, called test patterns or vector that are applied to the inputs of the circuit. Test pattern generation is a complex process with three main aspects: the cost of test generation, the cost of test application and the quality of test. III. SCAN-BASED ATTACKS The insertion of scan chains consists of replacing the flip- flops (FFs) of the design by scan flip-flops (SFFs) and connecting these SFFs into a shift- register, called scan chain. The scan chain is bound to a input pin (scan-in) and to an output pin (scan-out). An extra pin called scan-enable should be added to control the scan chain’s data shifting. If the scan- enable is set to 0, the SFFs are connected to the circuit to behave as functionally expected (functional mode). When the scan-enable is set to 1, the SFFs are connected to the scan chain, and the bitstream at the scan-in is shifted in while the data stored in the SFFs is shifted out through the scan-out pin. By controlling the scan-in and scan-enable inputs and observing the scan-out pin, and attacker can observe confi- dential data or corrupt internal states. Fig. 1 illustrates the duality between test and security. While the test engineer uses the scan chains to shift-in input patterns and shift-out response vectors, the attacker may shift-out confidential data (observability attacks) and shift-in corrupted data (controllability attacks). Figure 1 scan based attacks IV. BIST ARCHITECTURE Figure 2 Block diagram of BIST architecture Test pattern generation generates test patterns with the help of 2:1 MUX and LFSR. It accepts two inputs and produces one output to circuit under test. Circuit under test produces output to test response analysis before that any fault is detected it is just fed back into the BIST control unit and act as a feedback circuit until test end signal is applied. Test response analysis produces good/fault free output. Advantages  Reduces testing time.  Test application time and total energy dissipation during test are improved.  It reduces delay & power. V. INTERNAL DIAGRAM OF BIST ARCHITECTURE Figure 3 internal diagram
  • 3. S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13 www.ijera.com 12 | P a g e A.BIST CONTROLLER Whenever an IC is powered up(signal start BIST is made active) the test controller starts the procedure.once the test is over,the status line is made high if fault is found. Following that, the controller connects the primary inputs to the CUT via the multiplexer, thus making it ready for operation. B.LFSR Linear feedback shift register act as a feedback circuit. output of CUT is fed back into the LFSR if any faulty or non responsible output present in the CUT output.LFSR reduces the peak power by connecting multiplexer on the LFSR register.LFSR reduces the switching activity in the inputs of the Circuit Under Test. C.TEST PATTERN GENERATION Test patterns are generated in the output of multiplexer. The test patterns required to sensitize the faults and then propagate the effect to the outputs of the CUT. D.CIRCUIT UNDER TEST Circuit under test produces the primary output which is faulty or any non responsible output.Then the output is fed back into the LFSR in which it act as a feedback circuit, until the test end signal is applied.Remaining outputs are fed into output response compactor. E.OUTPUT RESPONSE COMPACTOR Output response compactor performs lossy compression of the outputs of the CUT.Then it produces a fault free signature which describes the good circuit response.During BIST, large amount of data in CUT responses are applied to Response compactor. For example, if we consider a circuit of 200 outputs and if we want to generate 5 million random patterns, then the CUT response to Response compactor will be 1 billion bits. This is not manageable in practice. So it is necessary to compact this enormous amount of circuit responses to a manageable size that can be stored on the chip. The response analyzer compresses a very long test response into a single word. Such a word is called a signature. The signature is then compared with the pre-stored reference signature from ROM with compacted response. If the signature matches the reference copy, the CUT is regarded fault-free. Otherwise, it is faulty. F.READ ONLY MEMORY It has reference signature that needs to be compared with the compacted CUT response. G.COMPARATOR Hardware to compare compacted CUT response and reference signature (from ROM) and produces the status of the circuit which is good & fault free circuit. VI. CONCLUSION
  • 4. S. Asvini Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -2) March 2015, pp.10-13 www.ijera.com 13 | P a g e In this paper, we have minimized the area,power,delay compared with existing system and simulationresults to find faulty or good circuit by using modelsim –Altera 6.4a software. Advantage of this project is area, power, delay parameters are minimized, testing time is reduced test application time and total energy dissipation during test are improved. VII. ACKNOWLEDGE S. Asviniwould like to thank everyone, including: parents, teachers, family, friends, and in essence, all sentient beings for their help and support this paper would not have been possible.Especially,I dedicate my acknowledgment of gratitude toward my mentor and Co-author S. Arthy for his guidance and support. REFERENCE [1] (1994). Federal Information Processing Standards Publication 140-2: Security Requirements for Cryptographic Modules [Online]. Available:http://csrc.nist.gov/publications/_ ps/_ps140-2/_ps1402.pdf [2] D. Hely, M.-L. Flottes, F. Bancel, B. Rouzeyre, N. Berard, andM. Renovell, ``Scan design and secure chip [secure IC testing],'' in Proc. 10th IEEE IOLTS, Jul. 2004, pp. 219_224. [3] J. Lee, M. Tehranipoor, C. Patel, and J. Plusquellic, ``Securing designs against scan-based side-channel attacks,'' IEEE Trans. Dependable SecureComput., vol. 4, no. 4, pp. 325_336, Oct. 2007. [4] J. Da Rolt, G. Di Natale, M. Flottes, and B. Rouzeyre, ``A novel differential scan attack on advanced DFT structures,'' ACM Trans. Des. Autom. Electron. Syst., vol. 18, no. 4, p. 58, Oct. 2013. [5] B.Yang, K.Wu, and R. Karri, ``Scan based side channel attack on dedicated hardware implementations of data encryption standard,'' in Proc. ITC, Oct. 2004, pp. 339_344. [6] B. Yang, K.Wu, and R. Karri, ``Secure scan: A design-for-test architecture for crypto chips,'' IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 25, no. 10, pp. 2287_2293, Oct. 2006. [7] Y. Liu, K. Wu, and R. Karri, ``Scan-based attacks on linear feedback shift register based stream ciphers,'' ACM Trans. Des. Autom. Electron. Syst., vol. 16, no. 2, pp. 1_15, Mar. 2011. [8] R. Nara, K. Satoh, M. Yanagisawa, T. Ohtsuki, and N. Togawa, ``Scanbased side- channel attack against RSA cryptosystems using scan signatures,'' IEICE Trans. Fundam. Electron.,Commun. Comput. Sci., vol. E93- A, no. 12, pp. 2481_2489, Dec. 2010. [9] R. Nara, N. Togawa, M. Yanagisawa, and T. Ohtsuki, ``Scan-based attack against elliptic curve cryptosystems,'' in Proc. 15th ASP- DAC, Jan. 2010, pp. 407_412. [10] J. Rajski, J. Tyszer, M. Kassab, and N. Mukherjee, ``Embedded deterministic test,'' IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23,no. 5, pp. 776_792, May 2004.