SlideShare a Scribd company logo
1 of 5
Download to read offline
V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 5, September- October 2012, pp.1485-1489

       A New low power Technology for power reduction in SRAM’s using
             Column Decoupling combined with virtual grounding
                         V. Partha Sarathi Reddy1, Durga Prasad2
                    1
                    (PG Student, ECE, JayaPrakash Narayan College of Engg./JNTU, India)
               2
                (Associate Professor, ECE, JayaPrakash Narayan College of Engg./JNTU, India)


Abstract: In this paper we are going to modify           Particularly, it conflicts with the need to maintain a
the column decoupled SRAM for the purpose of             high signal to noise ratio, or high noise margins, in
more reduced leakages than the existing type of          SRAMs and is one of the major impediments to
designs as well as the new design which is               producing a stable cell at low voltage. When
combined of virtual grounding with column                combined with other effects such as narrow width
decoupling logic is compared with the existing           effects, soft error rate (SER), temperature, and
technologies & the nanometer technology is also          process variations and parasitic transistor resistance,
improved for the purpose of much improved                the scaling of SRAMs becomes increasingly
reduction of area & power factors the                    difficult due to reduced margins [2]. Fig. 1
simulations were done using microwind & DSCH             illustrates the saturation in the scaling trend (dashed
results.                                                 line) of SRAM cells across technology generations.
Keywords: Column Decoupled SRAM, DSCH,                   The plot indicates that the SRAM area scaling drops
Nanometer    technology,   Microwind,    Virtual         below 50% for 32-nm technology and beyond.
Grounding.                                               Furthermore, voltage scaling is virtually nullified.
                                                         Higher fail probabilities occur due to voltage
  I.     Introduction:                                   scaling, and low voltage operation is becoming
          The main objective of this is to provide       problematic as higher supply voltages are required
new low power solutions for Very Large Scale             to conquer these process variations.
Integration (VLSI) designers. Especially, this work
focuses on the reduction of the power dissipation,       To overcome these challenges, recent industry
which is showing an ever-increasing growth with          trends have leaned towards exploring larger cells
the scaling down of the technologies. Various            and more exotic SRAM circuit styles in scaled
technique sat the different levels of the design         technologies. Examples are the use of write-assist
process have been implemented to reduce the power        design [3], read-modify-write [4], read-assist
dissipation at the circuit, architectural and system     designs [5], and the 8T register file cell.
level.                                                   Conventional 6T used in conjunction with these
                                                         techniques does not lead to power saving due to
                                                         exposure to half select condition Column
Low power has emerged as a principal theme in
                                                         select/half-select is very commonly used in SRAMs
today‟s electronics industry. The need for low
                                                         to provide SER protection and to enable area
power has caused a major paradigm shift where
                                                         efficient utilization and wiring of the macro.
power dissipation has become as important a
                                                         Nevertheless, the use of column select introduces a
consideration as performance and area. Two
                                                         read disturb condition for the unselected cells along
components determine the power consumption in a
                                                         a row (half-selected cells), potentially destabilizing
CMOS circuit.
                                                         them.
DEVICE miniaturization and the rapidly growing
demand for mobile or power-aware systems have
resulted in an urgent need to reduce power supply
voltage (Vdd). However, voltage reduction along
with device scaling is associated with decreasing
signal charge. Furthermore, increasing intra-die
process parameter variations, particularly random
dopant threshold voltage variations can lead to large
number of fails in extremely small channel area
memory designs. Due to their small size and large
numbers on chip, SRAM cells are adversely
affected. This trend is expected to grow significantly   Fig1: Problem during operation in the SRAM‟s
as designs are scaled further with each technology
generation [1].


                                                                                               1485 | P a g e
V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and
                    Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                    Vol. 2, Issue 5, September- October 2012, pp.1485-1489
II.   Using 8T column decoupling logic design            increase to the BL metal capacitance while
      for this problem:                                  maintaining the original diffusion capacitance
                                                         contributed by the 6T cell. Column decode signal
                                                         integrated with higher level metal. Area penalty can
                                                         be further reduced to 30% via use of 6T thin cell
                                                         integration. Further reduction can be achieved by
                                                         use of non-DRC clean devices.
                                                         The area can be reduced further to30% by utilizing
                                                         thin cells as presented in this paper without
                                                         degrading the bit line capacitance.

                                                          III.    Concept of using Virtual Grounding:
                                                                   SRAM is an important part of modern
                                                         microprocessor design, taking a large portion of the
                                                         total chip area and power. Increasing the density of
                                                         SRAM caches provides an effective method to
                                                         enhance system performance. That has resulted in
Fig2: SRAM with column Decoupling Logic
                                                         over 70% of the chip area being occupied by SRAM
                                                         [1]. Scaling device size doubled the transistor count
                                                         every two years according to Moore‟s law, and
          Fig.2 illustrates a new 8T-CDC SRAM cell
                                                         hence the density of SRAM caches kept mounting
(inside dashed rectangle) with a gated wordline
                                                         every next generation. However device dimensions
which enables the decoupling of the column/half-
                                                         become too small in nano-scale technologies and are
select condition [5] hence eliminating half select
                                                         more prone to variations due to manufacturing
stability fails. A localized gated inverter consisting
                                                         process. These variations can disturb the read/write
of two additional transistors, T1 and T2, effectively
                                                         stability of a SRAM design causing reliability
perform a logical “AND” operation between the
                                                         problems. A conventional 6T-SRAM has a poor
column select signal (BDT0) and the decoded row,
                                                         read stability due to constraint design requirements,
or global word line, GWLE. The output of the
                                                         and can suffer functional failures due to high
inverter is the local wordline signal (LWLE0). The
                                                         threshold voltage variations.
local wordline is ON only when both the column
                                                         Statistical variability arising from the discreteness of
and row are selected (i.e., for fully selected cells
                                                         charge and matter is a major source of threshold
only); hence, as illustrated in the waveforms of Fig.
                                                         variation that degrades the reliability of
3, LWLE0 of the selected columned turns ON while
                                                         conventional SRAM design [2].
LWLE1 of the half-selected column remains low.
                                                         Conventionally device sizing is used to enhance the
This ensures that the local word line for only the
                                                         read stability of SRAM cell design. However
selected cells is activated, thereby effectively
                                                         conventional sizing can be ineffective in nano-
protecting the half-selected SRAM cells from the
                                                         scaled technologies due to large threshold variations
read disturb scenario that exists in 6T cell due to
                                                         [3, 4]. Different SRAM designs have previously
wordline sharing. Alternatively, it is possible to
                                                         been presented that use from 6 to 10 transistors to
swap the input and supply pairs of the gated
                                                         provide reliable and/or low power operation. Sub-
inverter; however this comes at the cost of extra
                                                         threshold (below 200 mV) [3] and low power [5]
delay stage and power. The advantages of the
                                                         6T-SRAM designs have been proposed, however the
8T-CDC cell are as follows: 1) conforming with
                                                         write speed for both is degraded due to single-sided
traditional 6T requirements in terms of (a) allowing
                                                         read/write. A low power 6TSRAM design was
the designer to integrate it in a column select
                                                         presented in [6] that provided an improvement by
fashion and (b) offering/maintaining SER protection
                                                         1/2 in access delay and reduced the write power by
while 2) maximizing array efficiency, 3) eliminating
                                                         1/10. However it did not improve noise margin and
the read disturb to the unselected cells, and 4)
                                                         required a negative voltage during a read operation
reducing power with simplification in peripheral
                                                         that degrades device reliability. A 7T-SRAM design
logic.
                                                         was presented for low voltage SNM free operation,
          The two extra devices are integrated on top
                                                         but it suffered from dynamic retention [7]. Also the
of an existing 6T cell to allow for easy cell
                                                         write margin decreased at lower voltage, and read
mirroring and integration into an array topology.
                                                         operation could destroy cell data. Other designs
The addition of the two new transistors results in a
                                                         used 8 or 10 transistors to increase robustness [8, 9].
cell area increase of 40% (all in -direction). Through
                                                         However, they had a very high area overhead. For
the use of higher level metallurgy to wire in the
                                                         example, the 8T design incurred an area overhead of
column decode (BDC) signal, the growth to
                                                         30 %.
thedirection of cell was not impacted. The increase
to the –dimension of the cell causes a proportionate

                                                                                               1486 | P a g e
V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 5, September- October 2012, pp.1485-1489

IV.      Design of 7T-SRAM for enhanced                    ground (Gnd_vir2) is used to retrieve data on read
         read stability                                    bit line (BLR) during a read operation. Our design
          A conventional 6TSRAM cell design                decouples read/write operation using separate
consists of a cross-coupled inverter pair (M3-M6)          read/write access transistors. Therefore it doesn‟t
that does data storage and two access transistors          suffer from constrained read/write requirements as
(M1-M2) to load/retrieve data on bit lines, BL and         in 6T-SRAM design.
BLB. During a write operation, the data is loaded on
the bit lines and the word select signal WS is turned       V.      Proposed Design & results using
high. A successful write operation occurs if the data               Microwind& DSCH
is correctly latched in the cell. The bit lines are pre-            Our idea is to combine these to different
charged to the supply voltage and the word select          technologies & to design a new circuit with much
line is turned high to retrieve data during a read         efficiency than the existing two designs. Thus we
operation. The bit line (BL) connected to the storage      are designing a new circuit & showing the
node (V1) storing a „0‟ gets discharged. The storage       simulation results of the different technologies as
node (V1) rises above „0‟ during a read operation          shown below.
due to voltage division between the access transistor
(M1) and the driver transistor (M6). A read failure
can occur if the voltage drop rises higher than the
threshold voltage of the inverter (M3,M5).




                                                           1.1 Conventional 6T SRAM




                                                                 1.2 Layout for Conventional 6T SRAM




         A conventional 6T-SRAM cell provides
poor read stability since the access transistors
provide direct access to the cell storage during a
read operation. The proposed design (see Fig. 3)
removes the access hazard during a read operation
and therefore eliminates the chances of cell content       1.3. Characteristic waveform for Conventional 6T
being inadvertently flipped. It consists of a cross-       SRAM
coupled pair (M3-M6) for data storage as in case of
a conventional 6T-SRAM cell. However the ground
terminal of the inverter pair is connected to a virtual
ground (Gnd_vir1) in the proposed design to
provide high speed low-power write operation. The
word select line „WS‟ is held high only during a
write operation to load new data in the cell by
turning on the write access transistors (M1-M2). A
read access transistor (M7) connected to a virtual


                                                                                              1487 | P a g e
V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 5, September- October 2012, pp.1485-1489




       2.1 8T SRAM Design                        3.3 Characteristic waveform for Virtual Grounding
                                                 Embedded SRAM




       2.2 8T SRAM layout
                                                 4.1 Our Proposed design combined with 8T &
                                                 Virtual grounding concept




       2.3 Characteristic waveform for 8T SRAM


                                                 4.2 Our Proposed layout combined with 8T &
                                                 Virtual grounding concept




3.1 Virtual Grounding Embedded SRAM




                                                 4.3 Characteristic waveform for our proposed
                                                 layout combined with 8T & Virtual grounding
                                                 concept.

                                                 VI.      Conclusion
                                                         Our proposed design shows that much less
3.2 Virtual Grounding Embedded SRAM layouts      power than the existing ones. 1.76uw at the standard
                                                 Our Proposed layout combined with 8T & Virtual
                                                 grounding concept 50nm technology. And it is
                                                 having much reduced area than the conventional
                                                 SRAM designs. Thus this design can be used for


                                                                                     1488 | P a g e
V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 5, September- October 2012, pp.1485-1489
future SRAM core memories.

         References
  [1]    Kevin, Z., Embedded Memories for Nano-
         Scale VLSIs. 2009: Springer Publishing
         Company, Incorporated. 400.
  [2]    Brown, A.R., Roy, G., and Asenov, A.,
         Poly-Si-Gate- Related Variability in
         DecananometerMOSFETs                   With
         Conventional      Architecture.    Electron
         Devices, IEEE Transactions on, 2007.
         54(11): p. 3056-3063.
  [3]    Bo, Z., et al. A Sub-200mV 6T SRAM in
         0.13um CMOS. in Solid-State Circuits
         Conference, 2007. ISSCC 2007. Digest of
         Technical Papers. IEEE International.
         2007.
  [4]    Cheng, B., Roy, S., Roy, G., Brown, A.,
         and Asenov, A. Impact of Random Dopant
         Fluctuation on Bulk CMOS 6-T SRAM
         Scaling. inSolid-State Device Research
         Conference,          2006.       ESSDERC
         2006.Proceeding of the 36th European.
         2006.
  [5]    Jawar Singh, D.K.P., Simon Hollis, and
         Saraju P. Mohanty, A single ended 6T
         SRAM cell design for ultra-low-voltage
         applications.IEICE Electronics Express,
         2008.5(18): p. 750-755.
  [6]    Mizuno, H. and T. Nagano, Driving
         source-line cell architecture for sub-1-V
         high-speed low-power applications. Solid-
         State Circuits, IEEE Journal of,
         1996.31(4): p. 552-557.
  [7]    Takeda, K., et al., A read-static-noise-
         margin-free SRAM cell for low-VDD and
         high-speed      applications.    Solid-State
         Circuits, IEEE Journal of, 2006.41(1): p.
         113-121.
  [8]    Chang, L., et al., An 8T-SRAM for
         Variability Tolerance and Low-Voltage
         Operation        in       High-Performance
         Caches.Solid-State Circuits, IEEE Journal
         of, 2008.43(4): p. 956-963.
  [9]    Tae-Hyoung, K., et al. A High-Density
         Subthreshold      SRAM        with    Data-
         Independent Bitline Leakage and Virtual
         Ground Replica Scheme.inSolid-State
         Circuits Conference, 2007. ISSCC 2007.
         Digest of Technical Papers. IEEE
         International. 2007.
  [10]   Wang, X., Roy, S., and Asenov, A., Impact
         of Strain on the Performance of high-
         k/metal replacement gate MOSFETs, in
         Proc. 10th Ultimate Integration on Silicon
         (ULIS 2009). 2009.




                                                                              1489 | P a g e

More Related Content

What's hot

Nvram applications in the architectural revolutions of main memory implementa...
Nvram applications in the architectural revolutions of main memory implementa...Nvram applications in the architectural revolutions of main memory implementa...
Nvram applications in the architectural revolutions of main memory implementa...IAEME Publication
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology csandit
 
Comparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsComparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsIOSR Journals
 
2008 Nov iVT Rock Star
2008 Nov iVT Rock Star2008 Nov iVT Rock Star
2008 Nov iVT Rock StarMarkoElo
 
ISPD2016_paper_11
ISPD2016_paper_11ISPD2016_paper_11
ISPD2016_paper_11LI-DE CHEN
 
Ground bounce mtcmos
Ground bounce mtcmosGround bounce mtcmos
Ground bounce mtcmossateeesh7
 
Datasheet mx series 3 d universal edge routers
Datasheet mx series 3 d universal edge routersDatasheet mx series 3 d universal edge routers
Datasheet mx series 3 d universal edge routersjlassoued
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellWaqas Tariq
 
Mesh radio based ami solution robulink
Mesh radio based ami solution robulinkMesh radio based ami solution robulink
Mesh radio based ami solution robulinkjessie968
 
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...IDES Editor
 
17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)IAESIJEECS
 
Project Report on Modeling and Robust Control of Blu-Ray disc Servo Mechanisms
Project Report on Modeling and Robust Control of Blu-Ray disc Servo MechanismsProject Report on Modeling and Robust Control of Blu-Ray disc Servo Mechanisms
Project Report on Modeling and Robust Control of Blu-Ray disc Servo MechanismsManu Mitra
 
Optical waveguiding systems constructions and applications
Optical waveguiding systems constructions and applicationsOptical waveguiding systems constructions and applications
Optical waveguiding systems constructions and applicationseSAT Journals
 

What's hot (17)

Nvram applications in the architectural revolutions of main memory implementa...
Nvram applications in the architectural revolutions of main memory implementa...Nvram applications in the architectural revolutions of main memory implementa...
Nvram applications in the architectural revolutions of main memory implementa...
 
Blade
BladeBlade
Blade
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
 
Comparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsComparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM Systems
 
45 135-1-pb
45 135-1-pb45 135-1-pb
45 135-1-pb
 
2008 Nov iVT Rock Star
2008 Nov iVT Rock Star2008 Nov iVT Rock Star
2008 Nov iVT Rock Star
 
ISPD2016_paper_11
ISPD2016_paper_11ISPD2016_paper_11
ISPD2016_paper_11
 
Ground bounce mtcmos
Ground bounce mtcmosGround bounce mtcmos
Ground bounce mtcmos
 
Datasheet mx series 3 d universal edge routers
Datasheet mx series 3 d universal edge routersDatasheet mx series 3 d universal edge routers
Datasheet mx series 3 d universal edge routers
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
 
Mesh radio based ami solution robulink
Mesh radio based ami solution robulinkMesh radio based ami solution robulink
Mesh radio based ami solution robulink
 
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...
 
17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)
 
14
1414
14
 
61
6161
61
 
Project Report on Modeling and Robust Control of Blu-Ray disc Servo Mechanisms
Project Report on Modeling and Robust Control of Blu-Ray disc Servo MechanismsProject Report on Modeling and Robust Control of Blu-Ray disc Servo Mechanisms
Project Report on Modeling and Robust Control of Blu-Ray disc Servo Mechanisms
 
Optical waveguiding systems constructions and applications
Optical waveguiding systems constructions and applicationsOptical waveguiding systems constructions and applications
Optical waveguiding systems constructions and applications
 

Viewers also liked (20)

Gw2512481257
Gw2512481257Gw2512481257
Gw2512481257
 
Gc2511111116
Gc2511111116Gc2511111116
Gc2511111116
 
Gi2511541161
Gi2511541161Gi2511541161
Gi2511541161
 
Gg2511351142
Gg2511351142Gg2511351142
Gg2511351142
 
Gr2512211225
Gr2512211225Gr2512211225
Gr2512211225
 
Is2515571559
Is2515571559Is2515571559
Is2515571559
 
Ge2511241129
Ge2511241129Ge2511241129
Ge2511241129
 
H25031037
H25031037H25031037
H25031037
 
Jc2516111615
Jc2516111615Jc2516111615
Jc2516111615
 
Hy2514281431
Hy2514281431Hy2514281431
Hy2514281431
 
Ie2514631473
Ie2514631473Ie2514631473
Ie2514631473
 
Iy2515891593
Iy2515891593Iy2515891593
Iy2515891593
 
Ik2515011504
Ik2515011504Ik2515011504
Ik2515011504
 
Iv2515741577
Iv2515741577Iv2515741577
Iv2515741577
 
Gp2512011210
Gp2512011210Gp2512011210
Gp2512011210
 
Gm2511821187
Gm2511821187Gm2511821187
Gm2511821187
 
Hh2513151319
Hh2513151319Hh2513151319
Hh2513151319
 
Hd2512951299
Hd2512951299Hd2512951299
Hd2512951299
 
Ca31511515
Ca31511515Ca31511515
Ca31511515
 
Get yourself a bold look
Get yourself a bold lookGet yourself a bold look
Get yourself a bold look
 

Similar to Ih2514851489

Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionIJMTST Journal
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET Journal
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 

Similar to Ih2514851489 (20)

Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 

Ih2514851489

  • 1. V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1485-1489 A New low power Technology for power reduction in SRAM’s using Column Decoupling combined with virtual grounding V. Partha Sarathi Reddy1, Durga Prasad2 1 (PG Student, ECE, JayaPrakash Narayan College of Engg./JNTU, India) 2 (Associate Professor, ECE, JayaPrakash Narayan College of Engg./JNTU, India) Abstract: In this paper we are going to modify Particularly, it conflicts with the need to maintain a the column decoupled SRAM for the purpose of high signal to noise ratio, or high noise margins, in more reduced leakages than the existing type of SRAMs and is one of the major impediments to designs as well as the new design which is producing a stable cell at low voltage. When combined of virtual grounding with column combined with other effects such as narrow width decoupling logic is compared with the existing effects, soft error rate (SER), temperature, and technologies & the nanometer technology is also process variations and parasitic transistor resistance, improved for the purpose of much improved the scaling of SRAMs becomes increasingly reduction of area & power factors the difficult due to reduced margins [2]. Fig. 1 simulations were done using microwind & DSCH illustrates the saturation in the scaling trend (dashed results. line) of SRAM cells across technology generations. Keywords: Column Decoupled SRAM, DSCH, The plot indicates that the SRAM area scaling drops Nanometer technology, Microwind, Virtual below 50% for 32-nm technology and beyond. Grounding. Furthermore, voltage scaling is virtually nullified. Higher fail probabilities occur due to voltage I. Introduction: scaling, and low voltage operation is becoming The main objective of this is to provide problematic as higher supply voltages are required new low power solutions for Very Large Scale to conquer these process variations. Integration (VLSI) designers. Especially, this work focuses on the reduction of the power dissipation, To overcome these challenges, recent industry which is showing an ever-increasing growth with trends have leaned towards exploring larger cells the scaling down of the technologies. Various and more exotic SRAM circuit styles in scaled technique sat the different levels of the design technologies. Examples are the use of write-assist process have been implemented to reduce the power design [3], read-modify-write [4], read-assist dissipation at the circuit, architectural and system designs [5], and the 8T register file cell. level. Conventional 6T used in conjunction with these techniques does not lead to power saving due to exposure to half select condition Column Low power has emerged as a principal theme in select/half-select is very commonly used in SRAMs today‟s electronics industry. The need for low to provide SER protection and to enable area power has caused a major paradigm shift where efficient utilization and wiring of the macro. power dissipation has become as important a Nevertheless, the use of column select introduces a consideration as performance and area. Two read disturb condition for the unselected cells along components determine the power consumption in a a row (half-selected cells), potentially destabilizing CMOS circuit. them. DEVICE miniaturization and the rapidly growing demand for mobile or power-aware systems have resulted in an urgent need to reduce power supply voltage (Vdd). However, voltage reduction along with device scaling is associated with decreasing signal charge. Furthermore, increasing intra-die process parameter variations, particularly random dopant threshold voltage variations can lead to large number of fails in extremely small channel area memory designs. Due to their small size and large numbers on chip, SRAM cells are adversely affected. This trend is expected to grow significantly Fig1: Problem during operation in the SRAM‟s as designs are scaled further with each technology generation [1]. 1485 | P a g e
  • 2. V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1485-1489 II. Using 8T column decoupling logic design increase to the BL metal capacitance while for this problem: maintaining the original diffusion capacitance contributed by the 6T cell. Column decode signal integrated with higher level metal. Area penalty can be further reduced to 30% via use of 6T thin cell integration. Further reduction can be achieved by use of non-DRC clean devices. The area can be reduced further to30% by utilizing thin cells as presented in this paper without degrading the bit line capacitance. III. Concept of using Virtual Grounding: SRAM is an important part of modern microprocessor design, taking a large portion of the total chip area and power. Increasing the density of SRAM caches provides an effective method to enhance system performance. That has resulted in Fig2: SRAM with column Decoupling Logic over 70% of the chip area being occupied by SRAM [1]. Scaling device size doubled the transistor count every two years according to Moore‟s law, and Fig.2 illustrates a new 8T-CDC SRAM cell hence the density of SRAM caches kept mounting (inside dashed rectangle) with a gated wordline every next generation. However device dimensions which enables the decoupling of the column/half- become too small in nano-scale technologies and are select condition [5] hence eliminating half select more prone to variations due to manufacturing stability fails. A localized gated inverter consisting process. These variations can disturb the read/write of two additional transistors, T1 and T2, effectively stability of a SRAM design causing reliability perform a logical “AND” operation between the problems. A conventional 6T-SRAM has a poor column select signal (BDT0) and the decoded row, read stability due to constraint design requirements, or global word line, GWLE. The output of the and can suffer functional failures due to high inverter is the local wordline signal (LWLE0). The threshold voltage variations. local wordline is ON only when both the column Statistical variability arising from the discreteness of and row are selected (i.e., for fully selected cells charge and matter is a major source of threshold only); hence, as illustrated in the waveforms of Fig. variation that degrades the reliability of 3, LWLE0 of the selected columned turns ON while conventional SRAM design [2]. LWLE1 of the half-selected column remains low. Conventionally device sizing is used to enhance the This ensures that the local word line for only the read stability of SRAM cell design. However selected cells is activated, thereby effectively conventional sizing can be ineffective in nano- protecting the half-selected SRAM cells from the scaled technologies due to large threshold variations read disturb scenario that exists in 6T cell due to [3, 4]. Different SRAM designs have previously wordline sharing. Alternatively, it is possible to been presented that use from 6 to 10 transistors to swap the input and supply pairs of the gated provide reliable and/or low power operation. Sub- inverter; however this comes at the cost of extra threshold (below 200 mV) [3] and low power [5] delay stage and power. The advantages of the 6T-SRAM designs have been proposed, however the 8T-CDC cell are as follows: 1) conforming with write speed for both is degraded due to single-sided traditional 6T requirements in terms of (a) allowing read/write. A low power 6TSRAM design was the designer to integrate it in a column select presented in [6] that provided an improvement by fashion and (b) offering/maintaining SER protection 1/2 in access delay and reduced the write power by while 2) maximizing array efficiency, 3) eliminating 1/10. However it did not improve noise margin and the read disturb to the unselected cells, and 4) required a negative voltage during a read operation reducing power with simplification in peripheral that degrades device reliability. A 7T-SRAM design logic. was presented for low voltage SNM free operation, The two extra devices are integrated on top but it suffered from dynamic retention [7]. Also the of an existing 6T cell to allow for easy cell write margin decreased at lower voltage, and read mirroring and integration into an array topology. operation could destroy cell data. Other designs The addition of the two new transistors results in a used 8 or 10 transistors to increase robustness [8, 9]. cell area increase of 40% (all in -direction). Through However, they had a very high area overhead. For the use of higher level metallurgy to wire in the example, the 8T design incurred an area overhead of column decode (BDC) signal, the growth to 30 %. thedirection of cell was not impacted. The increase to the –dimension of the cell causes a proportionate 1486 | P a g e
  • 3. V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1485-1489 IV. Design of 7T-SRAM for enhanced ground (Gnd_vir2) is used to retrieve data on read read stability bit line (BLR) during a read operation. Our design A conventional 6TSRAM cell design decouples read/write operation using separate consists of a cross-coupled inverter pair (M3-M6) read/write access transistors. Therefore it doesn‟t that does data storage and two access transistors suffer from constrained read/write requirements as (M1-M2) to load/retrieve data on bit lines, BL and in 6T-SRAM design. BLB. During a write operation, the data is loaded on the bit lines and the word select signal WS is turned V. Proposed Design & results using high. A successful write operation occurs if the data Microwind& DSCH is correctly latched in the cell. The bit lines are pre- Our idea is to combine these to different charged to the supply voltage and the word select technologies & to design a new circuit with much line is turned high to retrieve data during a read efficiency than the existing two designs. Thus we operation. The bit line (BL) connected to the storage are designing a new circuit & showing the node (V1) storing a „0‟ gets discharged. The storage simulation results of the different technologies as node (V1) rises above „0‟ during a read operation shown below. due to voltage division between the access transistor (M1) and the driver transistor (M6). A read failure can occur if the voltage drop rises higher than the threshold voltage of the inverter (M3,M5). 1.1 Conventional 6T SRAM 1.2 Layout for Conventional 6T SRAM A conventional 6T-SRAM cell provides poor read stability since the access transistors provide direct access to the cell storage during a read operation. The proposed design (see Fig. 3) removes the access hazard during a read operation and therefore eliminates the chances of cell content 1.3. Characteristic waveform for Conventional 6T being inadvertently flipped. It consists of a cross- SRAM coupled pair (M3-M6) for data storage as in case of a conventional 6T-SRAM cell. However the ground terminal of the inverter pair is connected to a virtual ground (Gnd_vir1) in the proposed design to provide high speed low-power write operation. The word select line „WS‟ is held high only during a write operation to load new data in the cell by turning on the write access transistors (M1-M2). A read access transistor (M7) connected to a virtual 1487 | P a g e
  • 4. V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1485-1489 2.1 8T SRAM Design 3.3 Characteristic waveform for Virtual Grounding Embedded SRAM 2.2 8T SRAM layout 4.1 Our Proposed design combined with 8T & Virtual grounding concept 2.3 Characteristic waveform for 8T SRAM 4.2 Our Proposed layout combined with 8T & Virtual grounding concept 3.1 Virtual Grounding Embedded SRAM 4.3 Characteristic waveform for our proposed layout combined with 8T & Virtual grounding concept. VI. Conclusion Our proposed design shows that much less 3.2 Virtual Grounding Embedded SRAM layouts power than the existing ones. 1.76uw at the standard Our Proposed layout combined with 8T & Virtual grounding concept 50nm technology. And it is having much reduced area than the conventional SRAM designs. Thus this design can be used for 1488 | P a g e
  • 5. V. Partha Sarathi Reddy, Durga Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1485-1489 future SRAM core memories. References [1] Kevin, Z., Embedded Memories for Nano- Scale VLSIs. 2009: Springer Publishing Company, Incorporated. 400. [2] Brown, A.R., Roy, G., and Asenov, A., Poly-Si-Gate- Related Variability in DecananometerMOSFETs With Conventional Architecture. Electron Devices, IEEE Transactions on, 2007. 54(11): p. 3056-3063. [3] Bo, Z., et al. A Sub-200mV 6T SRAM in 0.13um CMOS. in Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International. 2007. [4] Cheng, B., Roy, S., Roy, G., Brown, A., and Asenov, A. Impact of Random Dopant Fluctuation on Bulk CMOS 6-T SRAM Scaling. inSolid-State Device Research Conference, 2006. ESSDERC 2006.Proceeding of the 36th European. 2006. [5] Jawar Singh, D.K.P., Simon Hollis, and Saraju P. Mohanty, A single ended 6T SRAM cell design for ultra-low-voltage applications.IEICE Electronics Express, 2008.5(18): p. 750-755. [6] Mizuno, H. and T. Nagano, Driving source-line cell architecture for sub-1-V high-speed low-power applications. Solid- State Circuits, IEEE Journal of, 1996.31(4): p. 552-557. [7] Takeda, K., et al., A read-static-noise- margin-free SRAM cell for low-VDD and high-speed applications. Solid-State Circuits, IEEE Journal of, 2006.41(1): p. 113-121. [8] Chang, L., et al., An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches.Solid-State Circuits, IEEE Journal of, 2008.43(4): p. 956-963. [9] Tae-Hyoung, K., et al. A High-Density Subthreshold SRAM with Data- Independent Bitline Leakage and Virtual Ground Replica Scheme.inSolid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International. 2007. [10] Wang, X., Roy, S., and Asenov, A., Impact of Strain on the Performance of high- k/metal replacement gate MOSFETs, in Proc. 10th Ultimate Integration on Silicon (ULIS 2009). 2009. 1489 | P a g e