SlideShare a Scribd company logo
1 of 11
Index
Abstract………………………………………………………………….…….03
I. Introduction………………………………..……………………………….03
II.3D-FPGA. .....................................................................................................04
a) HeterogeneousStacking …..........................................................................04
b) Homogeneous Stacking ………………………………...............................04
c) Programming OverheadStacking …………………………………….....04
III. 3D-SRAM ………………………………………………...........................06
IV. CONCLUSIONS …...................................................................................10
V. ACKNOWLEDGMENTS….....................................................................11
VI. REFERENC................................................................................................11
The Prospect of 3D-IC
Abstract—
This paper illustrates the performance advantages of 3D integrated circuits with two specific
examples, namely 3D-FPGA and 3D-SRAM. Through strategic modification of the
architectures to take advantage of 3D, significant improvement in speed and reduction in
power consumption can be achieved.
I. INTRODUCTION:
Three-dimensional integrated circuits (3D-ICs) have been studied since the 1980s [1].
However, scaling in accordance with Moore’s Law has been the most cost-effective means to
increase the packing density and performance of ICs. The technical and economical
challenges to continue scaling are quite formidable. This paper explores 3D integration as a
supplement to scaling. 3D-IC promises to offer multiple advantages over conventional 2D-
IC, including alleviating the communication bottleneck, integration of heterogeneous
materials, and enabling novel architectures. 3D-ICs present challenges at all fronts of
technology and design. If the 3D-IC is simply a stacking of the 2D circuit blocks with no
significant modification in architecture, the gain in performance will be very limited, if any.
A strategy in architecture and function partitioning across layers must be developed to take
advantage of the third dimension while managing the overall complexity. The performance
advantages of 3D architectures will be illustrated with two examples: 3D-FPGA and 3D-
SRAM. There are at least three approaches to realize 3D IC’s: chip stacking [2], wafer
stacking [3], and full monolithic integration [4]. Each approach is at different level of
maturity and offers various degrees of improvement. An important difference between these
approaches is the size of the inter-layer via, ranging from tens of microns for chip stacking to
tens of nanometers for monolithic integration. The density of inter-layer via directly impacts
the choice of 3D-architecture.
II. 3D-FPGA:
The design and prototyping costs of cell-based ASIC have become prohibitive, making
FPGAs increasingly popular. However, FPGAs, when compared with cell-based ASICs, have
10−40 times lower logic density, 3−4 times higher delay, and 5−12 times higher dynamic
power dissipation. 3D integration can help close this performance gap in several ways.
a) Heterogeneous Stacking:
A significant fraction of the area in a modern FPGA is occupied by hard IPs, such as
memory blocks, microprocessors, and DSP blocks. Using wafer stacking, these IPs can be
stacked on top of the FPGA fabric. This reduces the FPGA footprint, resulting in shorter
interconnects, lower delay, and lower power. The performance benefits of this approach,
however, are limited by the fraction of FPGA area occupied by IPs.
b) Homogeneous Stacking:
In this approach, multiple identical FPGA fabrics are stacked using wafer stacking and their
switch boxes are vertically connected using through silicon vias (TSVs) [5]. Simple analysis
of this scenario shows that with TSV pitch of 3−5 times that of inter-metal via in the base
CMOS technology, 4−6 fabric layers can be stacked with small area overhead. However,
power dissipation in the intermediate layers and TSV parasitics may limit the potential
performance benefits of this approach. This approach also entails significant modifications to
the FPGA routing architecture and associated CAD tools.
c) Programming Overhead Stacking:
FPGAs have lower performance than cell-based ASICs because around 80% of the FPGA
area is occupied by programming overhead, including the configuration memory, the
interconnect multiplexers and switches. Stacking this overhead on top of the logic does not
require too many layers, but can result in significant reduction in FPGA footprint. This
approach requires monolithic 3D integration because of the high density of inter-layer vias
required.
The study in [6] has shown that such stacking can achieve 3.2 times higher logic density, 1.7
times lower delay, and 1.7 times lower dynamic power consumption than a baseline 2D-
FPGA implemented in 65nm CMOS technology. These improvements are achieved with
appropriate optimization of buffer and transistor sizes, but without any change to the FPGA
architecture.
In a subsequent study [7], we explored architectural modification to take advantage of 3D. In
particular, by merging the connection boxes and switch boxes into a switch layer on top of
the logic boxes, as illustrated in Fig. 1, the routing fabric can be further simplified. The
memory layer is split into two layers to provide better local vertical connectivity and relax the
requirement on memory cell size. As shown in Fig. 2, the benchmark circuits achieve
improvement of 1.7 to 2.9 times in critical path delay, and reduction of 2.5 to 3.2 times in
dynamic power.
Fig.2. (a) Speedupof critical path delayand(b) reductionof powerconsumptionof 3D-FPGA over
2D baseline forvariousbenchmarkcircuits.
The stacking approaches discussed above can be combined to achieve performance that
approaches that of 2D cell-based ASICs. The idea is to use monolithic stacking to reduce the
programming overhead, and to use homogeneous and heterogeneous wafer stacking to
achieve further reduction in interconnect length. Additional benefits can be obtained by
changing the basic fabric architecture to take full advantage of 3D.
III. 3D-SRAM:
The bit-line delay usually constitutes the majority of the total access time of SRAM. A major
portion of the active power dissipation is also associated with the bit-line because a large
number of bit lines discharge every time a word-line is asserted.
Hierarchical bit-line architecture [8] reduces the total bit-line capacitance by isolating the cell
junction capacitances from the global bit-lines. However, the overall reduction in bit-line
capacitance is limited because a larger portion of the bit-line capacitance comes from the
metal coupling, which is proportional to the length and hence is virtually unchanged for the
same number of cells per bit-line.
In our proposed 3D-SRAM architecture [9] shown in Fig. 3, the local bit-lines extend
upward, through an inter-layer via that connects SRAM cells vertically. The local bit-line
connects through a select transistor to the global bit-line routed on the bottom layer. The
overall bit-line capacitance can be reduced significantly because the length of the global bit-
line is reduced by a factor of the number of layers. Thus this SRAM array will be denser,
faster, and lower power than a conventional design.
The SRAM cell is similar to a conventional 2D-SRAM cell and hence does not require
sophisticated 3D technology [10]. Select transistors that connect the vertical local-bit-line and
global bit-line are located on the first layer between SRAM cells. If the inter-layer vias are
assumed to be similar in size to that of inter-metal vias, the area overhead will only be 18%
per cell. Area efficiency is maximized by reusing this area for inter-layer vias in the upper
layers.
Fig. 4 compares the total bit-line capacitance versus the number of active layers for the 3D
architecture, and the corresponding number of cells per local bit-line (LBL) in the 2D-
hierarchical (2D-H) architecture. Although the simulation shows that using 8 layers yields the
best result, using 4 layers achieves the best tradeoff between performance and complexity.
With 4 layers, there is 3.4 times reduction in capacitance compared to 2D-SRAM, and 2.4
times reduction compared to 2D-hierarchical SRAM. Bit-line delay also follows the trend of
the bit-line capacitance. In 3D, the delay is reduced by about 1.8 times compared to 2D-
SRAM, and 1.7 times compared to a corresponding 2D-hierarchical SRAM.
In most 3D technologies, the inter-layer via needs to be enlarged and a landing pad included
to accommodate the misalignment between layers. Fig. 5 shows the bit-line capacitance and
delay versus the size and alignment accuracy of inter-layer via. As expected, the performance
will degrade as the inter-layer via size increase or alignment accuracy worsens. For reference,
simulation results of 2D-hierarchical SRAM are shown as horizontal dotted lines. It is
important to note that even if the inter-layer via size is relaxed to 0.3 μm and alignment
accuracy to 0.25 μm, the performance remains unchanged. This is because the area overhead
is originally limited by the select transistors, and consequently, slight increase in the inter-
layer size or misalignment does not significantly affect the overall capacitance. However, if
the misalignment degrades to more than 0.5 µm, the benefits start to vanish. Note that inter-
layer vias with size of 0.14 μm and pitch of 0.40 μm have been demonstrated [11]. Thus our
3D-SRAM architecture can be fabricated with currently available most advanced wafer-to-
wafer bonding technology and will still achieve great performance improvement. Of course,
the inter-layer via needs to scale correspondingly with the technology node to derive similar
benefits in the future.
Fig. 5. Simulated bit-line (a) capacitance and (b) delay of 3D-SRAM vs. inter-layer via size and
alignment accuracy. The horizontal dotted line is the reference for 2D-hierarchicalSRAM.
A 3D row decoder that derives the maximum advantage of 3D is also illustrated in Fig. 3.
There are two sets of pre-decoders, one to decode the “layer” information and the other for
the “row” information. These pre-decoders are distributed on every layer and operate
concurrently. An “AND” logic then drives the chosen word-line in the selected layer. Since
only the pre-decoders for the selected layer and row need to be activated, the worst-case
capacitance is reduced to 1/n of the corresponding 2D design, where n is the number of active
layers used. Speed improvement and power reduction are expected.
A 32-kbit proof-of-concept macro using 0.13-μm CMOS technology to emulate the 3D-
SRAM architecture has beendemonstrated. The 3D-SRAM is arranged as an array of 4 layers
× 32 word-lines × 256 bit-lines. As illustrated in Fig. 6, a vertical slice of 4-layer 3D-SRAM
cells furthest from the address inputs is transformed into a 2D design and the inter-layer via is
emulated by two upper level metal vias. This allows us to evaluate the worst-case delay and
power of the 3D array. A conventional 2D-SRAM block with the same capacity has also been
fabricated for performance comparison.
The energy-delay characteristics of the SRAM blocks are summarized in Fig. 7. The 3D-
SRAM offers about 5 times improvement in energy-delay product over 2D-SRAM. The
experimental results verify the 65nm projections discussed earlier.
IV. CONCLUSIONS:
We show that a monolithically stacked 3D-FPGA can achieve about 2.5 times reduction in
critical path delay and 2.9 times reduction in dynamic power consumption over a
conventional 2D-FPGA. A 3D-SRAM can achieve about 5 times reduction in power-delay
product over conventional 2D-SRAM. Other 3D design examples include 3D-imager, in
which a photo-diode layer is bonded with a CMOS electronics layer [12], and 3D one-time
programmable (OTP) memory, in which 8 layers of OTP cells are stacked on top of a
CMOSsubstrate [13]. These designs illustrate that with strategic partitioning and modification
of architecture, 3D-ICs can achieve significant performance improvements over conventional
2D-ICs. Heat removal from a 3D stack is a major challenge. The 3D architecture must take
this into consideration. In the 3D designs illustrated above, the high power electronics are
placed in the substrate, whereas the upper layers are limited to memory cells or other low
activity devices to minimize heat generation. As the cost for developing and deploying a
technology cycle escalates, 3D integration can be an effective supplement to extend the life
of the technology node.
V.ACKNOWLEDGMENTS:
This program has been supported by DARPA/SPAWAR grant N66001-04-1-8916. Many
students, research staff, and faculty colleagues have contributed to the success of this
program. It is unfortunate that there is not enough space to list all their names.
VI.REFERENCES:
[1] For example, M. Yasumoto, H. Hayama, and T. Enomoto, “Promising new fabrication
process developed for stacked LSIs,” IEEE Int. Electron Devices Meeting, pp. 816−819, Dec.
1984.
[2] For example, K. D. Gann, “Neo-stacking technology,” HDI Magazine, Dec. 1999.
[3] For example, H. Kurino et al., “Intelligent image sensor chip with three dimensional
structure,” IEEE Int. Electron Devices Meeting, pp. 879−882, Dec. 1999.
[4] For example, S. Wong et al., “Monolithic 3D integrated circuits,” Int. Symp. VLSI
Technology, Systems, and Applications, p. 66−67, Apr. 2007.
[5] A. Rahman, et al., "Wiring requirement and three-dimensional integration technology for
field programmable gate arrays." IEEE Trans. on VLSI Systems, vol. 11, no. 1, pp. 44-54,
Feb. 2003.
[6] M. Lin, et al., “Performance benefits of monolithically stacked 3-D FPGA,” IEEE Trans.
Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 2, pp. 216−229, Feb.
2007.
[7] M. Lin and A. El Gamal, “A low-power field-programmable gate array routing fabric,” to
be published in IEEE Trans. VLSI Systems, 2009.
[8] K. Osada et al., “A 2ns access, 285 MHz, two-port cache macro using double global bit-
line pairs,” IEEE Int. Solid-State Circuits Conf., pp. 402−403, Feb. 1997.
[9] H. H. Nho, M. Horowitz, and S. S. Wong, “A high-speed, low-power 3D-SRAM
architecture,” IEEE Custom Integrated Circuits Conf., pp. 201−204, Sep. 2008.
[10] S.-M. Jung et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology
with the smallest S3 cell, 0.16um2 , and SSTFT for ultra high density SRAM,” IEEE Symp.
VLSI Tech.., pp. 228, Jun. 2004.
[11] A. W. Topol et al., “Enabling SOI-based assembly technology for three-dimensional
(3D) integrated circuits (ICs),” IEEE Int. Electron Devices Meeting, pp. 352−355, Dec. 2005.
[12] V. Suntharalingam et al., “Megapixel CMOS image sensor fabricated in three-
dimensional integrated circuit technology,” IEEE Int. Solid-State Circuits Conf., pp.
356−357, Feb. 2005.
[13] M. Johnson et al., “512-Mb PROM with a three-dimensional array of diode/antifuse
memory cells,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1920−1928, Dec. 2003.

More Related Content

What's hot

Mitigation of Cross-Talk in Memory Arrays
Mitigation of Cross-Talk in Memory ArraysMitigation of Cross-Talk in Memory Arrays
Mitigation of Cross-Talk in Memory ArraysBruce Morton
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
Circuit Partitioning for VLSI Layout presented by Oveis Dehghantanha
Circuit Partitioning for VLSI Layout presented by Oveis DehghantanhaCircuit Partitioning for VLSI Layout presented by Oveis Dehghantanha
Circuit Partitioning for VLSI Layout presented by Oveis Dehghantanhaoveis dehghantanha
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...IJIR JOURNALS IJIRUSA
 
34 8951 suseela g suseela paper8 (edit)new
34 8951 suseela g   suseela paper8 (edit)new34 8951 suseela g   suseela paper8 (edit)new
34 8951 suseela g suseela paper8 (edit)newIAESIJEECS
 
33 8951 suseela g suseela paper8 (edit)new2
33 8951 suseela g   suseela paper8 (edit)new233 8951 suseela g   suseela paper8 (edit)new2
33 8951 suseela g suseela paper8 (edit)new2IAESIJEECS
 
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...AltairKorea
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESPERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESBUKYABALAJI
 
An Index-first Addressing Scheme for Multi-level Caches
An Index-first Addressing Scheme for Multi-level CachesAn Index-first Addressing Scheme for Multi-level Caches
An Index-first Addressing Scheme for Multi-level Cachesidescitation
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 

What's hot (14)

Mitigation of Cross-Talk in Memory Arrays
Mitigation of Cross-Talk in Memory ArraysMitigation of Cross-Talk in Memory Arrays
Mitigation of Cross-Talk in Memory Arrays
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
Circuit Partitioning for VLSI Layout presented by Oveis Dehghantanha
Circuit Partitioning for VLSI Layout presented by Oveis DehghantanhaCircuit Partitioning for VLSI Layout presented by Oveis Dehghantanha
Circuit Partitioning for VLSI Layout presented by Oveis Dehghantanha
 
LiberateMXWhitePaper
LiberateMXWhitePaperLiberateMXWhitePaper
LiberateMXWhitePaper
 
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
Ijiret archana-kv-increasing-memory-performance-using-cache-optimizations-in-...
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
34 8951 suseela g suseela paper8 (edit)new
34 8951 suseela g   suseela paper8 (edit)new34 8951 suseela g   suseela paper8 (edit)new
34 8951 suseela g suseela paper8 (edit)new
 
33 8951 suseela g suseela paper8 (edit)new2
33 8951 suseela g   suseela paper8 (edit)new233 8951 suseela g   suseela paper8 (edit)new2
33 8951 suseela g suseela paper8 (edit)new2
 
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...
Validation of High Fidelity CFD Modeling Approach for Utility Scale Wind Turb...
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Aj25210213
Aj25210213Aj25210213
Aj25210213
 
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESPERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
 
An Index-first Addressing Scheme for Multi-level Caches
An Index-first Addressing Scheme for Multi-level CachesAn Index-first Addressing Scheme for Multi-level Caches
An Index-first Addressing Scheme for Multi-level Caches
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 

Similar to Abstract The Prospect of 3D-IC

PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
IRJET- Signal Integrity Analysis of High Speed Interconnects in SATA Conn...
IRJET-  	  Signal Integrity Analysis of High Speed Interconnects in SATA Conn...IRJET-  	  Signal Integrity Analysis of High Speed Interconnects in SATA Conn...
IRJET- Signal Integrity Analysis of High Speed Interconnects in SATA Conn...IRJET Journal
 
Field Programmable Gate Array for Data Processing in Medical Systems
Field Programmable Gate Array for Data Processing in Medical SystemsField Programmable Gate Array for Data Processing in Medical Systems
Field Programmable Gate Array for Data Processing in Medical SystemsIOSR Journals
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureDhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerDhiraj Chaudhary
 
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Dhiraj Chaudhary
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionIJMTST Journal
 
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorCounter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorIRJET Journal
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 

Similar to Abstract The Prospect of 3D-IC (20)

O42018994
O42018994O42018994
O42018994
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
Accelerix ISSCC 1998 Paper
Accelerix ISSCC 1998 PaperAccelerix ISSCC 1998 Paper
Accelerix ISSCC 1998 Paper
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
IRJET- Signal Integrity Analysis of High Speed Interconnects in SATA Conn...
IRJET-  	  Signal Integrity Analysis of High Speed Interconnects in SATA Conn...IRJET-  	  Signal Integrity Analysis of High Speed Interconnects in SATA Conn...
IRJET- Signal Integrity Analysis of High Speed Interconnects in SATA Conn...
 
Field Programmable Gate Array for Data Processing in Medical Systems
Field Programmable Gate Array for Data Processing in Medical SystemsField Programmable Gate Array for Data Processing in Medical Systems
Field Programmable Gate Array for Data Processing in Medical Systems
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
Coarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architectureCoarse grained hybrid reconfigurable architecture
Coarse grained hybrid reconfigurable architecture
 
Coarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c routerCoarse grained hybrid reconfigurable architecture with no c router
Coarse grained hybrid reconfigurable architecture with no c router
 
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...Coarse grained hybrid reconfigurable architecture with noc router for variabl...
Coarse grained hybrid reconfigurable architecture with noc router for variabl...
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset ErrorCounter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
Counter Matrix Code for SRAM Based FPGA to Correct Multi Bit Upset Error
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 

More from vishnu murthy

Computer organisation -morris mano
Computer organisation  -morris manoComputer organisation  -morris mano
Computer organisation -morris manovishnu murthy
 
Irnss sps icd_june_2014 (1)
Irnss sps icd_june_2014 (1)Irnss sps icd_june_2014 (1)
Irnss sps icd_june_2014 (1)vishnu murthy
 
Navic india's own navigation system
Navic india's own navigation systemNavic india's own navigation system
Navic india's own navigation systemvishnu murthy
 
Automatic gear transmission
Automatic gear transmissionAutomatic gear transmission
Automatic gear transmissionvishnu murthy
 
Abstract E-BALL TECHNOLOGY
Abstract   E-BALL TECHNOLOGYAbstract   E-BALL TECHNOLOGY
Abstract E-BALL TECHNOLOGYvishnu murthy
 
Abstract 5G Technology
Abstract   5G TechnologyAbstract   5G Technology
Abstract 5G Technologyvishnu murthy
 
Abstract Silent Sound Technology
Abstract   Silent Sound TechnologyAbstract   Silent Sound Technology
Abstract Silent Sound Technologyvishnu murthy
 
Solar tree using nanowire
Solar tree using nanowireSolar tree using nanowire
Solar tree using nanowirevishnu murthy
 
Abstract Radio Frequency Identificatication
Abstract           Radio Frequency IdentificaticationAbstract           Radio Frequency Identificatication
Abstract Radio Frequency Identificaticationvishnu murthy
 
Abstract PAPER BATTERY-A PROMISING ENERGY SOLUTION
Abstract   PAPER BATTERY-A PROMISING  ENERGY SOLUTIONAbstract   PAPER BATTERY-A PROMISING  ENERGY SOLUTION
Abstract PAPER BATTERY-A PROMISING ENERGY SOLUTIONvishnu murthy
 
Abstract Automatic Control of Railway Gates
Abstract  Automatic Control of Railway GatesAbstract  Automatic Control of Railway Gates
Abstract Automatic Control of Railway Gatesvishnu murthy
 
Abstract Black box flight recorders
Abstract    Black box flight recorders Abstract    Black box flight recorders
Abstract Black box flight recorders vishnu murthy
 
Abstract Smart Card Technology
Abstract  Smart Card TechnologyAbstract  Smart Card Technology
Abstract Smart Card Technologyvishnu murthy
 

More from vishnu murthy (20)

Cao u1
Cao u1Cao u1
Cao u1
 
Computer organisation -morris mano
Computer organisation  -morris manoComputer organisation  -morris mano
Computer organisation -morris mano
 
555 timer
555 timer555 timer
555 timer
 
Html introduction
Html introductionHtml introduction
Html introduction
 
Css introduction
Css  introductionCss  introduction
Css introduction
 
Irnss sps icd_june_2014 (1)
Irnss sps icd_june_2014 (1)Irnss sps icd_june_2014 (1)
Irnss sps icd_june_2014 (1)
 
Navic india's own navigation system
Navic india's own navigation systemNavic india's own navigation system
Navic india's own navigation system
 
R13 ece
R13 eceR13 ece
R13 ece
 
Vhdl
VhdlVhdl
Vhdl
 
Automatic gear transmission
Automatic gear transmissionAutomatic gear transmission
Automatic gear transmission
 
Abstract E-BALL TECHNOLOGY
Abstract   E-BALL TECHNOLOGYAbstract   E-BALL TECHNOLOGY
Abstract E-BALL TECHNOLOGY
 
Abstract 5G Technology
Abstract   5G TechnologyAbstract   5G Technology
Abstract 5G Technology
 
Abstract Silent Sound Technology
Abstract   Silent Sound TechnologyAbstract   Silent Sound Technology
Abstract Silent Sound Technology
 
Solar tree using nanowire
Solar tree using nanowireSolar tree using nanowire
Solar tree using nanowire
 
Abstract Radio Frequency Identificatication
Abstract           Radio Frequency IdentificaticationAbstract           Radio Frequency Identificatication
Abstract Radio Frequency Identificatication
 
Abstract PAPER BATTERY-A PROMISING ENERGY SOLUTION
Abstract   PAPER BATTERY-A PROMISING  ENERGY SOLUTIONAbstract   PAPER BATTERY-A PROMISING  ENERGY SOLUTION
Abstract PAPER BATTERY-A PROMISING ENERGY SOLUTION
 
Abstract Automatic Control of Railway Gates
Abstract  Automatic Control of Railway GatesAbstract  Automatic Control of Railway Gates
Abstract Automatic Control of Railway Gates
 
Abstract Robotics
Abstract   RoboticsAbstract   Robotics
Abstract Robotics
 
Abstract Black box flight recorders
Abstract    Black box flight recorders Abstract    Black box flight recorders
Abstract Black box flight recorders
 
Abstract Smart Card Technology
Abstract  Smart Card TechnologyAbstract  Smart Card Technology
Abstract Smart Card Technology
 

Recently uploaded

Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...EduSkills OECD
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformChameera Dedduwage
 

Recently uploaded (20)

Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy Reform
 

Abstract The Prospect of 3D-IC

  • 1. Index Abstract………………………………………………………………….…….03 I. Introduction………………………………..……………………………….03 II.3D-FPGA. .....................................................................................................04 a) HeterogeneousStacking …..........................................................................04 b) Homogeneous Stacking ………………………………...............................04 c) Programming OverheadStacking …………………………………….....04 III. 3D-SRAM ………………………………………………...........................06 IV. CONCLUSIONS …...................................................................................10 V. ACKNOWLEDGMENTS….....................................................................11 VI. REFERENC................................................................................................11
  • 2. The Prospect of 3D-IC Abstract— This paper illustrates the performance advantages of 3D integrated circuits with two specific examples, namely 3D-FPGA and 3D-SRAM. Through strategic modification of the architectures to take advantage of 3D, significant improvement in speed and reduction in power consumption can be achieved. I. INTRODUCTION: Three-dimensional integrated circuits (3D-ICs) have been studied since the 1980s [1]. However, scaling in accordance with Moore’s Law has been the most cost-effective means to increase the packing density and performance of ICs. The technical and economical challenges to continue scaling are quite formidable. This paper explores 3D integration as a supplement to scaling. 3D-IC promises to offer multiple advantages over conventional 2D- IC, including alleviating the communication bottleneck, integration of heterogeneous materials, and enabling novel architectures. 3D-ICs present challenges at all fronts of technology and design. If the 3D-IC is simply a stacking of the 2D circuit blocks with no significant modification in architecture, the gain in performance will be very limited, if any. A strategy in architecture and function partitioning across layers must be developed to take advantage of the third dimension while managing the overall complexity. The performance advantages of 3D architectures will be illustrated with two examples: 3D-FPGA and 3D- SRAM. There are at least three approaches to realize 3D IC’s: chip stacking [2], wafer stacking [3], and full monolithic integration [4]. Each approach is at different level of maturity and offers various degrees of improvement. An important difference between these approaches is the size of the inter-layer via, ranging from tens of microns for chip stacking to tens of nanometers for monolithic integration. The density of inter-layer via directly impacts the choice of 3D-architecture.
  • 3. II. 3D-FPGA: The design and prototyping costs of cell-based ASIC have become prohibitive, making FPGAs increasingly popular. However, FPGAs, when compared with cell-based ASICs, have 10−40 times lower logic density, 3−4 times higher delay, and 5−12 times higher dynamic power dissipation. 3D integration can help close this performance gap in several ways. a) Heterogeneous Stacking: A significant fraction of the area in a modern FPGA is occupied by hard IPs, such as memory blocks, microprocessors, and DSP blocks. Using wafer stacking, these IPs can be stacked on top of the FPGA fabric. This reduces the FPGA footprint, resulting in shorter interconnects, lower delay, and lower power. The performance benefits of this approach, however, are limited by the fraction of FPGA area occupied by IPs. b) Homogeneous Stacking: In this approach, multiple identical FPGA fabrics are stacked using wafer stacking and their switch boxes are vertically connected using through silicon vias (TSVs) [5]. Simple analysis of this scenario shows that with TSV pitch of 3−5 times that of inter-metal via in the base CMOS technology, 4−6 fabric layers can be stacked with small area overhead. However, power dissipation in the intermediate layers and TSV parasitics may limit the potential performance benefits of this approach. This approach also entails significant modifications to the FPGA routing architecture and associated CAD tools. c) Programming Overhead Stacking: FPGAs have lower performance than cell-based ASICs because around 80% of the FPGA area is occupied by programming overhead, including the configuration memory, the interconnect multiplexers and switches. Stacking this overhead on top of the logic does not require too many layers, but can result in significant reduction in FPGA footprint. This approach requires monolithic 3D integration because of the high density of inter-layer vias required. The study in [6] has shown that such stacking can achieve 3.2 times higher logic density, 1.7 times lower delay, and 1.7 times lower dynamic power consumption than a baseline 2D- FPGA implemented in 65nm CMOS technology. These improvements are achieved with
  • 4. appropriate optimization of buffer and transistor sizes, but without any change to the FPGA architecture. In a subsequent study [7], we explored architectural modification to take advantage of 3D. In particular, by merging the connection boxes and switch boxes into a switch layer on top of the logic boxes, as illustrated in Fig. 1, the routing fabric can be further simplified. The memory layer is split into two layers to provide better local vertical connectivity and relax the requirement on memory cell size. As shown in Fig. 2, the benchmark circuits achieve improvement of 1.7 to 2.9 times in critical path delay, and reduction of 2.5 to 3.2 times in dynamic power. Fig.2. (a) Speedupof critical path delayand(b) reductionof powerconsumptionof 3D-FPGA over 2D baseline forvariousbenchmarkcircuits.
  • 5. The stacking approaches discussed above can be combined to achieve performance that approaches that of 2D cell-based ASICs. The idea is to use monolithic stacking to reduce the programming overhead, and to use homogeneous and heterogeneous wafer stacking to achieve further reduction in interconnect length. Additional benefits can be obtained by changing the basic fabric architecture to take full advantage of 3D. III. 3D-SRAM: The bit-line delay usually constitutes the majority of the total access time of SRAM. A major portion of the active power dissipation is also associated with the bit-line because a large number of bit lines discharge every time a word-line is asserted. Hierarchical bit-line architecture [8] reduces the total bit-line capacitance by isolating the cell junction capacitances from the global bit-lines. However, the overall reduction in bit-line capacitance is limited because a larger portion of the bit-line capacitance comes from the metal coupling, which is proportional to the length and hence is virtually unchanged for the same number of cells per bit-line. In our proposed 3D-SRAM architecture [9] shown in Fig. 3, the local bit-lines extend upward, through an inter-layer via that connects SRAM cells vertically. The local bit-line connects through a select transistor to the global bit-line routed on the bottom layer. The overall bit-line capacitance can be reduced significantly because the length of the global bit- line is reduced by a factor of the number of layers. Thus this SRAM array will be denser, faster, and lower power than a conventional design.
  • 6. The SRAM cell is similar to a conventional 2D-SRAM cell and hence does not require sophisticated 3D technology [10]. Select transistors that connect the vertical local-bit-line and global bit-line are located on the first layer between SRAM cells. If the inter-layer vias are assumed to be similar in size to that of inter-metal vias, the area overhead will only be 18% per cell. Area efficiency is maximized by reusing this area for inter-layer vias in the upper layers. Fig. 4 compares the total bit-line capacitance versus the number of active layers for the 3D architecture, and the corresponding number of cells per local bit-line (LBL) in the 2D- hierarchical (2D-H) architecture. Although the simulation shows that using 8 layers yields the best result, using 4 layers achieves the best tradeoff between performance and complexity. With 4 layers, there is 3.4 times reduction in capacitance compared to 2D-SRAM, and 2.4 times reduction compared to 2D-hierarchical SRAM. Bit-line delay also follows the trend of the bit-line capacitance. In 3D, the delay is reduced by about 1.8 times compared to 2D- SRAM, and 1.7 times compared to a corresponding 2D-hierarchical SRAM.
  • 7. In most 3D technologies, the inter-layer via needs to be enlarged and a landing pad included to accommodate the misalignment between layers. Fig. 5 shows the bit-line capacitance and delay versus the size and alignment accuracy of inter-layer via. As expected, the performance will degrade as the inter-layer via size increase or alignment accuracy worsens. For reference, simulation results of 2D-hierarchical SRAM are shown as horizontal dotted lines. It is important to note that even if the inter-layer via size is relaxed to 0.3 μm and alignment accuracy to 0.25 μm, the performance remains unchanged. This is because the area overhead is originally limited by the select transistors, and consequently, slight increase in the inter- layer size or misalignment does not significantly affect the overall capacitance. However, if the misalignment degrades to more than 0.5 µm, the benefits start to vanish. Note that inter- layer vias with size of 0.14 μm and pitch of 0.40 μm have been demonstrated [11]. Thus our 3D-SRAM architecture can be fabricated with currently available most advanced wafer-to- wafer bonding technology and will still achieve great performance improvement. Of course, the inter-layer via needs to scale correspondingly with the technology node to derive similar benefits in the future.
  • 8. Fig. 5. Simulated bit-line (a) capacitance and (b) delay of 3D-SRAM vs. inter-layer via size and alignment accuracy. The horizontal dotted line is the reference for 2D-hierarchicalSRAM. A 3D row decoder that derives the maximum advantage of 3D is also illustrated in Fig. 3. There are two sets of pre-decoders, one to decode the “layer” information and the other for the “row” information. These pre-decoders are distributed on every layer and operate concurrently. An “AND” logic then drives the chosen word-line in the selected layer. Since only the pre-decoders for the selected layer and row need to be activated, the worst-case
  • 9. capacitance is reduced to 1/n of the corresponding 2D design, where n is the number of active layers used. Speed improvement and power reduction are expected. A 32-kbit proof-of-concept macro using 0.13-μm CMOS technology to emulate the 3D- SRAM architecture has beendemonstrated. The 3D-SRAM is arranged as an array of 4 layers × 32 word-lines × 256 bit-lines. As illustrated in Fig. 6, a vertical slice of 4-layer 3D-SRAM cells furthest from the address inputs is transformed into a 2D design and the inter-layer via is emulated by two upper level metal vias. This allows us to evaluate the worst-case delay and power of the 3D array. A conventional 2D-SRAM block with the same capacity has also been fabricated for performance comparison. The energy-delay characteristics of the SRAM blocks are summarized in Fig. 7. The 3D- SRAM offers about 5 times improvement in energy-delay product over 2D-SRAM. The experimental results verify the 65nm projections discussed earlier. IV. CONCLUSIONS: We show that a monolithically stacked 3D-FPGA can achieve about 2.5 times reduction in critical path delay and 2.9 times reduction in dynamic power consumption over a conventional 2D-FPGA. A 3D-SRAM can achieve about 5 times reduction in power-delay product over conventional 2D-SRAM. Other 3D design examples include 3D-imager, in which a photo-diode layer is bonded with a CMOS electronics layer [12], and 3D one-time
  • 10. programmable (OTP) memory, in which 8 layers of OTP cells are stacked on top of a CMOSsubstrate [13]. These designs illustrate that with strategic partitioning and modification of architecture, 3D-ICs can achieve significant performance improvements over conventional 2D-ICs. Heat removal from a 3D stack is a major challenge. The 3D architecture must take this into consideration. In the 3D designs illustrated above, the high power electronics are placed in the substrate, whereas the upper layers are limited to memory cells or other low activity devices to minimize heat generation. As the cost for developing and deploying a technology cycle escalates, 3D integration can be an effective supplement to extend the life of the technology node. V.ACKNOWLEDGMENTS: This program has been supported by DARPA/SPAWAR grant N66001-04-1-8916. Many students, research staff, and faculty colleagues have contributed to the success of this program. It is unfortunate that there is not enough space to list all their names. VI.REFERENCES: [1] For example, M. Yasumoto, H. Hayama, and T. Enomoto, “Promising new fabrication process developed for stacked LSIs,” IEEE Int. Electron Devices Meeting, pp. 816−819, Dec. 1984. [2] For example, K. D. Gann, “Neo-stacking technology,” HDI Magazine, Dec. 1999. [3] For example, H. Kurino et al., “Intelligent image sensor chip with three dimensional structure,” IEEE Int. Electron Devices Meeting, pp. 879−882, Dec. 1999. [4] For example, S. Wong et al., “Monolithic 3D integrated circuits,” Int. Symp. VLSI Technology, Systems, and Applications, p. 66−67, Apr. 2007. [5] A. Rahman, et al., "Wiring requirement and three-dimensional integration technology for field programmable gate arrays." IEEE Trans. on VLSI Systems, vol. 11, no. 1, pp. 44-54, Feb. 2003. [6] M. Lin, et al., “Performance benefits of monolithically stacked 3-D FPGA,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 2, pp. 216−229, Feb. 2007.
  • 11. [7] M. Lin and A. El Gamal, “A low-power field-programmable gate array routing fabric,” to be published in IEEE Trans. VLSI Systems, 2009. [8] K. Osada et al., “A 2ns access, 285 MHz, two-port cache macro using double global bit- line pairs,” IEEE Int. Solid-State Circuits Conf., pp. 402−403, Feb. 1997. [9] H. H. Nho, M. Horowitz, and S. S. Wong, “A high-speed, low-power 3D-SRAM architecture,” IEEE Custom Integrated Circuits Conf., pp. 201−204, Sep. 2008. [10] S.-M. Jung et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 cell, 0.16um2 , and SSTFT for ultra high density SRAM,” IEEE Symp. VLSI Tech.., pp. 228, Jun. 2004. [11] A. W. Topol et al., “Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs),” IEEE Int. Electron Devices Meeting, pp. 352−355, Dec. 2005. [12] V. Suntharalingam et al., “Megapixel CMOS image sensor fabricated in three- dimensional integrated circuit technology,” IEEE Int. Solid-State Circuits Conf., pp. 356−357, Feb. 2005. [13] M. Johnson et al., “512-Mb PROM with a three-dimensional array of diode/antifuse memory cells,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1920−1928, Dec. 2003.