SlideShare a Scribd company logo
1 of 18
APB
(Advanced Peripheral Bus)
Introduction
 AMBA was introduced by ARM in 1996. The first AMBA buses were the Advanced
System Bus (ASB) and the Advanced Peripheral Bus (APB).
 The APB is part of the AMBA protocol family.
 It provides a low-cost interface that is optimized for minimal power
consumption and reduced interface complexity.
 The APB interfaces to any peripherals that are low-bandwidth and do not
require the high performance of a pipelined bus interface.
 The APB has unpipelined protocol.
 All signal transitions are only related to the rising edge of the clock to enable
the integration of APB peripherals easily into any design flow.
Signal Description:
 PCLK – The rising edge of PCLK times all transfers on the APB.
 PRESETn - The APB reset signal is active LOW. This signal is normally
connected directly to the system bus reset signal.
 PADDR - This is the APB address bus. It can be up to 32 bits wide and is driven
by the peripheral bus bridge unit.
 PSELx - The APB bridge unit generates this signal to each peripheral bus slave.
It indicates that the slave device is selected and that a data transfer
is required. There is a PSELx signal for each slave.
 PENABLE -This signal indicates the second and subsequent cycles of an APB
transfer.
 PWRITE - This signal indicates an APB write access when HIGH and an APB
read access when LOW.
Signal Description:
 PWDATA - This bus is driven by the peripheral bus bridge unit during write
cycles when PWRITE is HIGH. This bus can be up to 32 bits wide.
 PREADY - The slave uses this signal to extend an APB transfer.
 PRDATA - The selected slave drives this bus during read cycles when PWRITE is
LOW. This bus can be up to 32-bits wide.
 PSLVERR - This signal indicates a transfer failure. APB peripherals are not
required to support the PSLVERR pin. This is true for both existing
and new APB peripheral designs. Where a peripheral does not
include this pin then the appropriate input to the APB bridge is tied
LOW.
Master-Slave Communication:
Write Transfers:
With no wait states:
 The write transfer starts with the address, write data, write signal and select
signal all changing after the rising edge of the clock.
 The first clock cycle of the transfer is called the Setup phase.
 After the following clock edge the enable signal is asserted, PENABLE, and
this indicates that the Access phase is taking place.
Write Transfer:
 The address, data and control signals all remain valid throughout the Access
phase.
 The transfer completes at the end of this cycle.
 The enable signal, PENABLE, is deasserted at the end of the transfer.
 The select signal, PSELx, also goes LOW unless the transfer is to be followed
immediately by another transfer to the same peripheral.
Write Transfer:
 With wait state:
 The PREADY signal from the slave can extend the transfer. During an Access
phase, when PENABLE is HIGH, the transfer can be extended by driving
PREADY LOW.
 PREADY can take any value when PENABLE is LOW. This ensures that
peripherals that have a fixed two cycle access can tie PREADY HIGH.
Read Transfer:
 With wait state:
 The transfer is extended if PREADY is driven LOW during an Access phase.
 The protocol ensures that the following remain unchanged for the additional
cycles. PADDR, PWRITE , PSEL ,PENABLE. Figure shows that two cycles are
added using the PREADY signal.
 However, you can add any number of additional cycles, from zero upwards.
Read Transfer:
 With no wait state:
Write followed by Read Transfer:
Error Response:
 You can use PSLVERR to indicate an error condition on an APB transfer. Error
conditions can occur on both read and write transactions.
 PSLVERR is only considered valid during the last cycle of an APB transfer,
when PSEL, PENABLE, and PREADY are all HIGH. It is recommended, but not
mandatory, that you drive PSLVERR LOW when it is not being sampled.
 That is, when any of PSEL, PENABLE, or PREADY are LOW. Transactions that
receive an error, might or might not have changed the state of the peripheral.
This is peripheral-specific and either is acceptable.
 When a write transaction receives an error this does not mean that the
register within the peripheral has not been updated.
 Read transactions that receive an error can return invalid data. There is no
requirement for the peripheral to drive the data bus to all 0s for a read error.
APB peripherals are not required to support the PSLVERR pin.
 This is true for both existing and new APB peripheral designs. Where a
peripheral does not include this pin then the appropriate input to the APB
bridge is tied LOW.
Failing Write Transfer:
Failing Read Transfer:
State Machine:
Advantages:
 Low power
 Simple interface
 Suitable for many peripherals
 Latched address and control
Disadvantages:
 Single master – limits parallelism
 Scalability – performance suffers as bus as loaded
APB Bus Explained

More Related Content

What's hot

Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015kiemnhatminh
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET Journal
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology TutorialArrow Devices
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...eSAT Journals
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functionsanand hd
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomizationNirav Desai
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxGuckChick
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0ijsrd.com
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coveragePushpa Yakkala
 

What's hot (20)

Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
Axi
AxiAxi
Axi
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
Axi
AxiAxi
Axi
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
axi protocol
axi protocolaxi protocol
axi protocol
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
 
I2C introduction
I2C introductionI2C introduction
I2C introduction
 
Uart
UartUart
Uart
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
SPI Protocol
SPI ProtocolSPI Protocol
SPI Protocol
 

Similar to APB Bus Explained

VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0ijsrd.com
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolIJERA Editor
 
3.2 modulation formats bpsk, qpsk, oqpsk,
3.2 modulation formats   bpsk, qpsk, oqpsk,3.2 modulation formats   bpsk, qpsk, oqpsk,
3.2 modulation formats bpsk, qpsk, oqpsk,JAIGANESH SEKAR
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Guhan k
 
Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocolsidescitation
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocoliaemedu
 
Chapter 3
Chapter 3Chapter 3
Chapter 3PRADEEP
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterTejas Shetye
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeManu BN
 

Similar to APB Bus Explained (20)

APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
final
finalfinal
final
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB Protocol
 
40120130406005
4012013040600540120130406005
40120130406005
 
3.2 modulation formats bpsk, qpsk, oqpsk,
3.2 modulation formats   bpsk, qpsk, oqpsk,3.2 modulation formats   bpsk, qpsk, oqpsk,
3.2 modulation formats bpsk, qpsk, oqpsk,
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
 
Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocols
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
 
Pin 8085
Pin 8085Pin 8085
Pin 8085
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
8051 serial communication-UART
8051 serial communication-UART8051 serial communication-UART
8051 serial communication-UART
 
Amba bus
Amba busAmba bus
Amba bus
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 

Recently uploaded

Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 

Recently uploaded (20)

Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 

APB Bus Explained

  • 2. Introduction  AMBA was introduced by ARM in 1996. The first AMBA buses were the Advanced System Bus (ASB) and the Advanced Peripheral Bus (APB).  The APB is part of the AMBA protocol family.  It provides a low-cost interface that is optimized for minimal power consumption and reduced interface complexity.  The APB interfaces to any peripherals that are low-bandwidth and do not require the high performance of a pipelined bus interface.  The APB has unpipelined protocol.  All signal transitions are only related to the rising edge of the clock to enable the integration of APB peripherals easily into any design flow.
  • 3. Signal Description:  PCLK – The rising edge of PCLK times all transfers on the APB.  PRESETn - The APB reset signal is active LOW. This signal is normally connected directly to the system bus reset signal.  PADDR - This is the APB address bus. It can be up to 32 bits wide and is driven by the peripheral bus bridge unit.  PSELx - The APB bridge unit generates this signal to each peripheral bus slave. It indicates that the slave device is selected and that a data transfer is required. There is a PSELx signal for each slave.  PENABLE -This signal indicates the second and subsequent cycles of an APB transfer.  PWRITE - This signal indicates an APB write access when HIGH and an APB read access when LOW.
  • 4. Signal Description:  PWDATA - This bus is driven by the peripheral bus bridge unit during write cycles when PWRITE is HIGH. This bus can be up to 32 bits wide.  PREADY - The slave uses this signal to extend an APB transfer.  PRDATA - The selected slave drives this bus during read cycles when PWRITE is LOW. This bus can be up to 32-bits wide.  PSLVERR - This signal indicates a transfer failure. APB peripherals are not required to support the PSLVERR pin. This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 6. Write Transfers: With no wait states:  The write transfer starts with the address, write data, write signal and select signal all changing after the rising edge of the clock.  The first clock cycle of the transfer is called the Setup phase.  After the following clock edge the enable signal is asserted, PENABLE, and this indicates that the Access phase is taking place.
  • 7. Write Transfer:  The address, data and control signals all remain valid throughout the Access phase.  The transfer completes at the end of this cycle.  The enable signal, PENABLE, is deasserted at the end of the transfer.  The select signal, PSELx, also goes LOW unless the transfer is to be followed immediately by another transfer to the same peripheral.
  • 8. Write Transfer:  With wait state:  The PREADY signal from the slave can extend the transfer. During an Access phase, when PENABLE is HIGH, the transfer can be extended by driving PREADY LOW.  PREADY can take any value when PENABLE is LOW. This ensures that peripherals that have a fixed two cycle access can tie PREADY HIGH.
  • 9. Read Transfer:  With wait state:  The transfer is extended if PREADY is driven LOW during an Access phase.  The protocol ensures that the following remain unchanged for the additional cycles. PADDR, PWRITE , PSEL ,PENABLE. Figure shows that two cycles are added using the PREADY signal.  However, you can add any number of additional cycles, from zero upwards.
  • 10. Read Transfer:  With no wait state:
  • 11. Write followed by Read Transfer:
  • 12. Error Response:  You can use PSLVERR to indicate an error condition on an APB transfer. Error conditions can occur on both read and write transactions.  PSLVERR is only considered valid during the last cycle of an APB transfer, when PSEL, PENABLE, and PREADY are all HIGH. It is recommended, but not mandatory, that you drive PSLVERR LOW when it is not being sampled.  That is, when any of PSEL, PENABLE, or PREADY are LOW. Transactions that receive an error, might or might not have changed the state of the peripheral. This is peripheral-specific and either is acceptable.  When a write transaction receives an error this does not mean that the register within the peripheral has not been updated.  Read transactions that receive an error can return invalid data. There is no requirement for the peripheral to drive the data bus to all 0s for a read error. APB peripherals are not required to support the PSLVERR pin.  This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 16. Advantages:  Low power  Simple interface  Suitable for many peripherals  Latched address and control
  • 17. Disadvantages:  Single master – limits parallelism  Scalability – performance suffers as bus as loaded