SlideShare a Scribd company logo
1 of 32
AMBA
 Advanced Microcontroller Bus Architecture (AMBA) is
an architecture that is widely used in system-on-chip
designs, which are found on chip buses.
 The AMBA specification standard is used for designing
high-level embedded microcontrollers.
 It provide technology independence and to encourage
modular system design.
 It strongly encourages the development of reusable
peripheral devices while minimizing silicon infrastructure
Typical AMBA system
AMBA
 AMBA 5.0 protocol defines six buses/interfaces:
 CHI (Coherent Hub Interface) : is targeting the interface to the
coherent hub that is found in many of today's SoCs
 ACE (AXI Coherency Extensions): It is targeted at high
bandwidth, high clock frequency system designs
 AXI (Advanced extensible Interface)-A high performance
,flexible protocol
 AHB (Advanced High-performance Bus)-retained for
compatibility and to ease the transition
 ASB (Advanced System Bus )- no longer actively supported
 APB (Advanced Peripheral Bus) - retained for support of
simple, low bandwidth peripherals
AMBA 2 APB (APB2) AMBA 3 APB 1.0(APB 3) AMBA 3 APB 2.0(APB4)
NO PREADY PREADY PPROT
PSLEVERR PSTROB
 PPROT A protection signal to support both non-secure
and secure transactions on APB.
 PSTRB A write strobe signal to enable sparse data
transfer on the write data bus.
Introduction:
APB stands for Advanced Peripheral Bus .
The APB is part of the Advanced Microcontroller Bus
Architecture (AMBA) protocol family.
Mainly used as general purpose register based peripherals
such as timers, interrupt controllers, UARTs, I/O ports, etc.
Optimized for minimal power consumption and reduced
interface complexity.
The APB protocol is non pipelined protocol.
In APB Every transfer takes at least two cycles(Setup Phase
and Access Phase).
Introduction:
The APB interfaces to any peripherals that are low-
bandwidth and do not require the high performance of a
pipelined bus interface.
It is connected to the system bus via a bridge, helps reduce
system power consumption.
It is also easy to interface.
The APB provides a low-cost interface that is optimized
for minimal power consumption and reduced interface
complexity.
The APB can interface with AHB ,AXI and etc..
APB Master
There is a single bus master on the APB, thus there is no
need for an arbiter.
The master drives the address and write buses and also
performs a combinatorial decode of the address to decide
which PSEL x signal to activate.
It is also responsible for driving the PENABLE signal to
time the transfer.
It drives APB data onto the system bus during a read
transfer.
APB Master
APB Slave
APB slaves have a very simple and flexible interface.
The exact implementation the interface will be
dependent on the design style employed and many
different options are possible.
In this two signals are main which mainly protect the
loss data while transfer of data is taking place they are
PSLVERR and PREADY.
Master to Slave Communication
Operating States
 IDLE - This is the default state of the APB.
 SETUP - When a transfer is required the bus moves
into the SETUP state, where the appropriate select
signal, PSELx, is asserted. The bus only remains in the
SETUP state for one clock cycle and always moves to
the ACCESS state on the next rising edge of the clock.
 ACCESS - The enable signal, PENABLE, is asserted in
the ACCESS state. The address, write, select, and write
data signals must remain stable during the transition
from the SETUP to ACCESS state. Exit from the
ACCESS state is controlled by the PREADY signal from
the slave:
 1 - If PREADY is held LOW by the slave then the
peripheral bus remains in the ACCESS state.
 2 - If PREADY is driven HIGH by the slave then the
ACCESS state is exited and the bus returns to the IDLE
state if no more transfers are required.
 Alternatively, the bus moves directly to the SETUP
state if another transfer follows.
Write With No Wait states
 The write transfer starts with the address, write data, write signal
and select signal all changing after the rising edge of the clock.
 The first clock cycle of the transfer is called the Setup phase.
 After the following clock edge the enable signal is asserted,
PENABLE, and this indicates that the Access phase is taking
place.
 The address, data and control signals all remain valid throughout
the Access phase. The transfer completes at the end of this cycle.
 The enable signal, PENABLE, is de-asserted at the end of the
transfer.
 The select signal, PSELx, also goes LOW unless the transfer is to
be followed immediately by another transfer to the same
peripheral.
With No Wait states
Write transfer with wait states
Figure shows how the PREADY signal from the slave can
extend the transfer. During an Access phase, when
PENABLE is HIGH, the transfer can be extended by
driving PREADY LOW.
The following signals remain unchanged for the additional
cycles:
● address, PADDR
● write signal, PWRITE
● select signal, PSEL
● enable signal, PENABLE
● write data, PWDATA.
Read With no wait states
The read transfer starts with the address, write signal and
select signal all changing after the rising edge of the clock.
The first clock cycle of the transfer is called the Setup
phase.
After the following clock edge the enable signal is
asserted, PENABLE, and this indicates that the Access
phase is taking place.
The address and control signals all remain valid
throughout the Access phase. The slave must provide the
data before the end of the read transfer.
The transfer completes at the end of this cycle.
The enable signal, PENABLE, is de-asserted at the end of
the transfer.
Read With wait states
 Access phase will extends up to PREADY is High. When
Pready is high slave provide PRDATA to the master.
Failing Write transfer
 Figure shows an example of a failing write transfer that
 completes with an error.
Read transfer
 A read transfer can also complete with an error
response, indicating that there is no valid read data
available.
 a read transfer completing with an error response.
Write Followed by Read
Error response
 You can use PSLVERR to indicate an error condition on
an APB transfer. Error conditions can occur on both
read and write transactions.
 PSLVERR is only considered valid during the last cycle
of an APB transfer, when PSEL, PENABLE, and
PREADY are all HIGH
 It is recommended, but not mandatory, that you drive
PSLVERR LOW when it is not being sampled. That is,
when any of PSEL, PENABLE, or PREADY are LOW.
 Transactions that receive an error, might or might not have
changed the state of the peripheral. This is peripheral-
specific and either is acceptable. When a write transaction
receives an error this does not mean that the register within
the peripheral has not been updated.
 Read transactions that receive an error can return invalid
data. There is no requirement for the peripheral to drive
the data bus to all 0s for a read error.
 APB peripherals are not required to support the PSLVERR
pin. This is true for both existing and new APB peripheral
designs. Where a peripheral does not include this pin then
the appropriate input to the APB bridge is tied LOW.
Advantages
 Low Power
 Latched address and control
 Simple Interface
 Suitable for many peripherals
Disadvantages
 Single Master –Limits parallelism
 Scalability_ performance suffers as bus is loaded
 Single Outstanding request :Poor throughput and
multi threading performance bottleneck
Advance Peripheral Bus

More Related Content

What's hot

What's hot (20)

AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
Amba bus
Amba busAmba bus
Amba bus
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
I2C Protocol
I2C ProtocolI2C Protocol
I2C Protocol
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 

Similar to Advance Peripheral Bus

Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
iaemedu
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
PRADEEP
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
Manu BN
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
Guhan k
 

Similar to Advance Peripheral Bus (20)

APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
40120130406005
4012013040600540120130406005
40120130406005
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB Protocol
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
 
Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocols
 
final
finalfinal
final
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
IEEE-488
IEEE-488IEEE-488
IEEE-488
 
AMBA AHB Protocols
AMBA AHB ProtocolsAMBA AHB Protocols
AMBA AHB Protocols
 
Deployment guide1
Deployment guide1Deployment guide1
Deployment guide1
 
Pin 8085
Pin 8085Pin 8085
Pin 8085
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
 
PIN Specification of 8086 Microprocessor
PIN Specification of 8086 MicroprocessorPIN Specification of 8086 Microprocessor
PIN Specification of 8086 Microprocessor
 

More from SIVA NAGENDRA REDDY (13)

Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Dica iv chapter slides
Dica iv chapter slidesDica iv chapter slides
Dica iv chapter slides
 
Dica iii chapter slides
Dica iii chapter slidesDica iii chapter slides
Dica iii chapter slides
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Lica 3rd chapter slides
Lica 3rd chapter slidesLica 3rd chapter slides
Lica 3rd chapter slides
 
Lica 7th chapter slides
Lica 7th chapter slidesLica 7th chapter slides
Lica 7th chapter slides
 
LICA- DIFFERENTIAL APLIFIERS
LICA- DIFFERENTIAL APLIFIERSLICA- DIFFERENTIAL APLIFIERS
LICA- DIFFERENTIAL APLIFIERS
 
LICA-
LICA- LICA-
LICA-
 
Emi unit iv ppt
Emi unit iv pptEmi unit iv ppt
Emi unit iv ppt
 
Emi unit iii ppt
Emi unit iii pptEmi unit iii ppt
Emi unit iii ppt
 
Emi unit ii ppt
Emi unit ii pptEmi unit ii ppt
Emi unit ii ppt
 
Emi unit 1 ppt
Emi unit 1 pptEmi unit 1 ppt
Emi unit 1 ppt
 
Arduino simulation procedure
Arduino simulation procedureArduino simulation procedure
Arduino simulation procedure
 

Recently uploaded

Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
Epec Engineered Technologies
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
AldoGarca30
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
Neometrix_Engineering_Pvt_Ltd
 

Recently uploaded (20)

Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
Jaipur ❤CALL GIRL 0000000000❤CALL GIRLS IN Jaipur ESCORT SERVICE❤CALL GIRL IN...
Jaipur ❤CALL GIRL 0000000000❤CALL GIRLS IN Jaipur ESCORT SERVICE❤CALL GIRL IN...Jaipur ❤CALL GIRL 0000000000❤CALL GIRLS IN Jaipur ESCORT SERVICE❤CALL GIRL IN...
Jaipur ❤CALL GIRL 0000000000❤CALL GIRLS IN Jaipur ESCORT SERVICE❤CALL GIRL IN...
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
 
Computer Graphics Introduction To Curves
Computer Graphics Introduction To CurvesComputer Graphics Introduction To Curves
Computer Graphics Introduction To Curves
 
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and properties
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Electromagnetic relays used for power system .pptx
Electromagnetic relays used for power system .pptxElectromagnetic relays used for power system .pptx
Electromagnetic relays used for power system .pptx
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Signal Processing and Linear System Analysis
Signal Processing and Linear System AnalysisSignal Processing and Linear System Analysis
Signal Processing and Linear System Analysis
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
 

Advance Peripheral Bus

  • 1.
  • 2. AMBA  Advanced Microcontroller Bus Architecture (AMBA) is an architecture that is widely used in system-on-chip designs, which are found on chip buses.  The AMBA specification standard is used for designing high-level embedded microcontrollers.  It provide technology independence and to encourage modular system design.  It strongly encourages the development of reusable peripheral devices while minimizing silicon infrastructure
  • 4. AMBA  AMBA 5.0 protocol defines six buses/interfaces:  CHI (Coherent Hub Interface) : is targeting the interface to the coherent hub that is found in many of today's SoCs  ACE (AXI Coherency Extensions): It is targeted at high bandwidth, high clock frequency system designs  AXI (Advanced extensible Interface)-A high performance ,flexible protocol  AHB (Advanced High-performance Bus)-retained for compatibility and to ease the transition  ASB (Advanced System Bus )- no longer actively supported  APB (Advanced Peripheral Bus) - retained for support of simple, low bandwidth peripherals
  • 5. AMBA 2 APB (APB2) AMBA 3 APB 1.0(APB 3) AMBA 3 APB 2.0(APB4) NO PREADY PREADY PPROT PSLEVERR PSTROB
  • 6.  PPROT A protection signal to support both non-secure and secure transactions on APB.  PSTRB A write strobe signal to enable sparse data transfer on the write data bus.
  • 7. Introduction: APB stands for Advanced Peripheral Bus . The APB is part of the Advanced Microcontroller Bus Architecture (AMBA) protocol family. Mainly used as general purpose register based peripherals such as timers, interrupt controllers, UARTs, I/O ports, etc. Optimized for minimal power consumption and reduced interface complexity. The APB protocol is non pipelined protocol. In APB Every transfer takes at least two cycles(Setup Phase and Access Phase).
  • 8. Introduction: The APB interfaces to any peripherals that are low- bandwidth and do not require the high performance of a pipelined bus interface. It is connected to the system bus via a bridge, helps reduce system power consumption. It is also easy to interface. The APB provides a low-cost interface that is optimized for minimal power consumption and reduced interface complexity. The APB can interface with AHB ,AXI and etc..
  • 9. APB Master There is a single bus master on the APB, thus there is no need for an arbiter. The master drives the address and write buses and also performs a combinatorial decode of the address to decide which PSEL x signal to activate. It is also responsible for driving the PENABLE signal to time the transfer. It drives APB data onto the system bus during a read transfer.
  • 11. APB Slave APB slaves have a very simple and flexible interface. The exact implementation the interface will be dependent on the design style employed and many different options are possible. In this two signals are main which mainly protect the loss data while transfer of data is taking place they are PSLVERR and PREADY.
  • 12.
  • 13. Master to Slave Communication
  • 15.  IDLE - This is the default state of the APB.  SETUP - When a transfer is required the bus moves into the SETUP state, where the appropriate select signal, PSELx, is asserted. The bus only remains in the SETUP state for one clock cycle and always moves to the ACCESS state on the next rising edge of the clock.
  • 16.  ACCESS - The enable signal, PENABLE, is asserted in the ACCESS state. The address, write, select, and write data signals must remain stable during the transition from the SETUP to ACCESS state. Exit from the ACCESS state is controlled by the PREADY signal from the slave:  1 - If PREADY is held LOW by the slave then the peripheral bus remains in the ACCESS state.  2 - If PREADY is driven HIGH by the slave then the ACCESS state is exited and the bus returns to the IDLE state if no more transfers are required.  Alternatively, the bus moves directly to the SETUP state if another transfer follows.
  • 17. Write With No Wait states  The write transfer starts with the address, write data, write signal and select signal all changing after the rising edge of the clock.  The first clock cycle of the transfer is called the Setup phase.  After the following clock edge the enable signal is asserted, PENABLE, and this indicates that the Access phase is taking place.  The address, data and control signals all remain valid throughout the Access phase. The transfer completes at the end of this cycle.  The enable signal, PENABLE, is de-asserted at the end of the transfer.  The select signal, PSELx, also goes LOW unless the transfer is to be followed immediately by another transfer to the same peripheral.
  • 18. With No Wait states
  • 19. Write transfer with wait states Figure shows how the PREADY signal from the slave can extend the transfer. During an Access phase, when PENABLE is HIGH, the transfer can be extended by driving PREADY LOW. The following signals remain unchanged for the additional cycles: ● address, PADDR ● write signal, PWRITE ● select signal, PSEL ● enable signal, PENABLE ● write data, PWDATA.
  • 20.
  • 21. Read With no wait states The read transfer starts with the address, write signal and select signal all changing after the rising edge of the clock. The first clock cycle of the transfer is called the Setup phase. After the following clock edge the enable signal is asserted, PENABLE, and this indicates that the Access phase is taking place. The address and control signals all remain valid throughout the Access phase. The slave must provide the data before the end of the read transfer. The transfer completes at the end of this cycle. The enable signal, PENABLE, is de-asserted at the end of the transfer.
  • 22.
  • 23. Read With wait states  Access phase will extends up to PREADY is High. When Pready is high slave provide PRDATA to the master.
  • 24. Failing Write transfer  Figure shows an example of a failing write transfer that  completes with an error.
  • 25. Read transfer  A read transfer can also complete with an error response, indicating that there is no valid read data available.  a read transfer completing with an error response.
  • 27. Error response  You can use PSLVERR to indicate an error condition on an APB transfer. Error conditions can occur on both read and write transactions.  PSLVERR is only considered valid during the last cycle of an APB transfer, when PSEL, PENABLE, and PREADY are all HIGH  It is recommended, but not mandatory, that you drive PSLVERR LOW when it is not being sampled. That is, when any of PSEL, PENABLE, or PREADY are LOW.
  • 28.  Transactions that receive an error, might or might not have changed the state of the peripheral. This is peripheral- specific and either is acceptable. When a write transaction receives an error this does not mean that the register within the peripheral has not been updated.  Read transactions that receive an error can return invalid data. There is no requirement for the peripheral to drive the data bus to all 0s for a read error.  APB peripherals are not required to support the PSLVERR pin. This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 29.
  • 30. Advantages  Low Power  Latched address and control  Simple Interface  Suitable for many peripherals
  • 31. Disadvantages  Single Master –Limits parallelism  Scalability_ performance suffers as bus is loaded  Single Outstanding request :Poor throughput and multi threading performance bottleneck