SlideShare a Scribd company logo
1 of 39
Introduction to
Application Specific Integrated Circuit
Outline
Introduction
ASIC cell library
ASIC design styles
ASIC design flow
ASIC design issues
ASIC Vs. FPGA
ASIC design tools
 Reference
12/16/2019 ASIC Design 2
Introduction
12/16/2019 ASIC Design 3
A
S
I
C
Application
Specific
Integrated
Circuit
 It is a custom integrated circuit designed and
optimized to fit a specific purpose and product.
Introduction…
 Examples of ICs that are an ASICs:
 a chip for a toy bear that talks;
 a chip for a satellite;
 a chip designed to handle the interface between
memory and a microprocessor for a workstation
CPU;
 a chip containing a microprocessor as a cell
together with other logic.
12/16/2019 ASIC Design 4
ASIC cell library
 The logic cells such as AND, OR, XoR, NOR,
NAND, multiplexers, and flip-flops are
predesigned by designers using different
configurations, standardized and stored in the
form of a library.
 Cell libraries are fixed set of well-
characterized logic blocks.
12/16/2019 ASIC Design 5
ASIC cell library…
 Each cell in an ASIC cell library must contain:
 A physical layout
 A behavioral model
 A Verilog/VHDL model
 A detailed timing model
 A test strategy
 A circuit schematic
 A cell icon
 A wire-load model
 A routing model
12/16/2019 ASIC Design 6
ASIC design styles
12/16/2019 ASIC Design 7
ASIC design styles…
1. Full-Custom ASIC:
 Include some (possibly all) customized logic cells
 Have all their mask layers customized
 Manufacturing lead time is typically 8 weeks
(time taken to make the IC does not include design
time)
12/16/2019 ASIC Design 8
ASIC design styles…
1) Full-Custom ASIC…
Full-custom ASIC design makes sense only:
When no suitable existing libraries exist or
Existing library cells are not fast enough or
The available pre-designed/pre-tested cells consume too
much power that a design can allow or
The available logic cells are not compact enough to fit
or
ASIC technology is new or/and so special that no cell
library exits.
12/16/2019 ASIC Design 9
ASIC design styles…
1) Full-Custom ASIC…
 Advantage:
 Offer highest performance
 lowest cost (smallest die size)
 Disadvantages:
 Increased design time
Increased Complexity
Higher design cost
Higher risk.
12/16/2019 ASIC Design 10
ASIC design styles…
1) Full-Custom ASIC…
 Future:
 Maximum performance
 Minimized area
 Highest degree of flexibility
 Examples:
 Microprocessor
 High-Voltage Automobile Control Chips
12/16/2019 ASIC Design 11
ASIC design styles…
2. Semi-Custom ASIC:
 Also known as cell-based ASIC, which uses a
pre-designed some (possibly all) logic cells namely
AND gates, OR gates, Multiplexers, Flip-flops,…
(i.e. called standard libraries).
 Only the placement of the standard cells and
interconnection is done.
 All mask layers are customized
 Custom blocks embedded
12/16/2019 ASIC Design 12
ASIC design styles…
2) Semi-Custom ASIC…
12/16/2019 ASIC Design 13
ASIC design styles…
2) Semi-Custom ASIC…
 Types:
i. Standard cell-based (CBIC- “sea-bick”)
 uses pre-designed library
ii. Gate array (GA) based
 uses pre-defined transistor in the silicon wafer.
12/16/2019 ASIC Design 14
ASIC design styles…
2) Semi-Custom ASIC…
i. Standard cell-based (CBIC- “sea-bick”)
 Use predesigned logic cells (Called standard cells)
from:
 standard cell libraries
 other mega-cells (Microcontroller or Microprocessors)
 full-custom blocks
 System-Level Macros(SLMs)
 Functional Standard Blocks (FSBs)
 cores etc
12/16/2019 ASIC Design 15
ASIC design styles…
2) Semi-Custom ASIC…
i. Standard cell-based (CBIC- “sea-bick”)…
Get all mask layers customized- transistors and
interconnect
 Manufacturing lead time is about 8 weeks
Custom blocks can be embedded
 Advantages:
 Save time, money, reduce risk
 Standard cell optimized individually for speed or area
12/16/2019 ASIC Design 16
ASIC design styles…
2) Semi-Custom ASIC…
i. Standard cell-based (CBIC- “sea-bick”)…
 Disadvantage:
Time to design standard cell library
 Expenses of designing std cell library
 Time needed to fabricate all layers of the ASIC for
new design
12/16/2019 ASIC Design 17
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based
 Transistors are predefined on the silicon wafer
 Predefined pattern of transistors on a gate array is base
array.
 Smallest element repeated to form base array is base
cell.
 Only the top few layers of metal, which define the
interconnect between transistors, are defined by the
designer using custom masks. It is often called a
masked gate array ( MGA ).
12/16/2019 ASIC Design 18
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
 Types:
A) Channeled GA
B) Channelless GA
C) Structured GA
12/16/2019 ASIC Design 19
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
A) Channeled GA
12/16/2019 ASIC Design 20
 Only the interconnect is customized
 Space between rows are predefined
 Manufacturing lead time is between
two days and two weeks
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
A) Channeled GA…
 Advantage:
 Specific space for interconnection
 Disadvantage:
 compared to CBIC space is not adjustable
12/16/2019 ASIC Design 21
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
B) Channelless GA (Channel free gate array, Sea-of-
Gate/SOG/)
12/16/2019 ASIC Design 22
 Only some (the top few) mask layers
are customized
 Manufacturing lead time is between
two days and two weeks
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
B) Channelless GA…
 Advantage:
 Logic density is higher for channelless gate array
 Contact layers are customized
 Disadvantage:
 No specific area for routing
 Rows of transistors used for routing are not used for other
purpose.
12/16/2019 ASIC Design 23
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
C) Structured GA (Masterslice or Masterimage)
12/16/2019 ASIC Design 24
 Only the interconnect is
customized
 Custom blocks (the same for
each design) can be embedded
 Manufacturing lead time is
between two days and two
weeks
ASIC design styles…
2) Semi-Custom ASIC…
ii. Gate array based…
C) Structured GA…
 Advantage:
 Embedded gate array set in some of IC area and dedicate to
specific function-customized.
 Increase area efficiency, performance of CBIC
 low cost and fast turn around of MGA
 Disadvantage:
 Embedded function is fixed
12/16/2019 ASIC Design 25
ASIC design styles…
3. Programmable ASIC:
all of the logic cells are predesigned and none of
the mask layers are customized.
 Types:
a) Programmable Logic Design (PLD)
b) Field Programmable Gate Array (FPGA)
12/16/2019 ASIC Design 26
ASIC design styles…
3) Programmable ASIC…
a) Programmable Logic Design (PLD):
No customized mask layers or logic cells
Fast design turnaround
A single large block of programmable interconnect
A matrix of logic macro cells that usually consist of
programmable array logic followed by a flip-flop or
latch
12/16/2019 ASIC Design 27
ASIC design styles…
3) Programmable ASIC…
a) Programmable Logic Design (PLD)…
12/16/2019 ASIC Design 28
A programmable logic device (PLD) die
ASIC design styles…
3) Programmable ASIC…
a) Programmable Logic Design (PLD)…
 Types:
Programmable Logic Array (PLA):
 has a programmable AND logic array, or AND plane , followed
by a programmable OR logic array, or OR plane
Programmable Array Logic (PAL):
 has a programmable AND plane and, in contrast to a
PLA, a fixed OR plane.
12/16/2019 ASIC Design 29
ASIC design styles…
3) Programmable ASIC…
b) Field Programmable Gate Array (FPGA):
None of the mask layers are customized.
A method for programming the basic logic cells and the
interconnect.
The core is a regular array of programmable basic logic
cells that can implement combinational as well as
sequential logic (flip-flops).
A matrix of programmable interconnect surrounds the
basic logic cells.
Programmable I/O cells surround the core.
Design turnaround is a few hours.
12/16/2019 ASIC Design 30
ASIC design styles…
3) Programmable ASIC…
b) Field Programmable Gate Array (FPGA)…
12/16/2019 ASIC Design 31
Field-programmable
gate array (FPGA) die
ASIC design flow
12/16/2019 ASIC Design 32
ASIC design flow…
1. Design entry: Enter the design into an ASIC design system, either
using a hardware description language(HDL) or schematic entry.
2. Logic synthesis: Use an HDL (VHDL or Verilog) and a logic
synthesis tool to produce a netlist description of the logic cells and their
connections.
3. System partitioning: Divide a large system into ASIC- sized pieces.
4. Pre-layout simulation: Check to see if the design functions
correctly.
5. Floor planning: Arrange the blocks of the netlist on the chip.
6. Placement: Decide the locations of cells in a block.
7. Routing: Make the connections between cells and blocks.
8. Extraction: Determine the resistance and capacitance of the
interconnect.
9. Post-layout simulation: Check to see the design still works with the
added loads of the interconnect.
12/16/2019 ASIC Design 33
ASIC design issues
12/16/2019 ASIC Design 34
 Factors to consider before design:
 Performance
 Functionality
 Design Techniques
 Physical dimensions
 Fabrication technology
 Specification
 Area
 Speed
 Power
ASIC Vs. FPGA
12/16/2019 ASIC Design 35
No. FPGA ASIC
1 Reconfigurable circuit. FPGAs can
be reconfigured with a different
design. They even have capability
to reconfigure a part of chip while
remaining areas of chip are still
working! This feature is widely
used in accelerated computing in
data centres.
Permanent circuitry. Once the
application specific circuit is taped-
out into silicon, it cannot be
changed. The circuit will work same
for its complete operating life.
2 Design is specified generally using
hardware description languages
(HDL) such as VHDL or Verilog.
Same as for FPGA. Design is
specified using HDL such as
Verilog, VHDL etc.
Note: For more information click here
ASIC design tools
12/16/2019 ASIC Design 36
Reference
1. Rajeev Jayaraman, Xilinx Inc,
2001 https://www.doc.ic.ac.uk/~wl/teachlocal/arch/killasic.pdf
2. Fasang, P.P., Mullins, D. and Wong, T., 1988, May. Design for
testability for mixed analog/digital ASICs. In Custom Integrated
Circuits Conference, 1988., Proceedings of the IEEE 1988 (pp. 16-
5). IEEE.
3. Bhatnagar, H., 2007. Advanced ASIC Chip Synthesis: Using
Synopsys® Design CompilerTM Physical CompilerTM and
PrimeTime®. Springer Science & Business Media.
4. Bansal, J.P., BAE Systems Information and Electronic Systems
Integration Inc, 2004. Gate array core cell for VLSI ASIC devices.
U.S. Patent 6,765,245.
5. Wu, K.C. and Tsai, Y.W., 2004, April. Structured ASIC, evolution
or revolution?. In Proceedings of the 2004 international
symposium on Physical design (pp. 103-106). ACM.
12/16/2019 ASIC Design 37
Reference…
6. Crosetto, D.B., D Computing Inc, 1999. High-speed, parallel, processor
architecture for front-end electronics, based on a single type of ASIC, and
method use thereof. U.S. Patent 5,937,202.
7. Trimberger, S.M., Xilinx Inc, 2003. Method for making large-scale ASIC
using pre-engineered long distance routing structure. U.S. Patent 6,601,227.
8. Rajsuman, R., 2000. System-on-a-chip: Design and Test. Artech House, Inc..
9. Hamida, N.B. and Kaminska, B., 1993, October. Analog circuit testing
based on sensitivity computation and new circuit modeling. In Proceedings of
IEEE International Test Conference-(ITC) (pp. 652-661). IEEE.
10. Rose, J., Francis, R.J., Lewis, D. and Chow, P., 1990. Architecture of field-
programmable gate arrays: The effect of logic block functionality on area
efficiency. IEEE Journal of Solid-State Circuits, 25(5), pp.1217-1225.
11. Brown, S.D., Francis, R.J., Rose, J. and Vranesic, Z.G., 2012. Field-
programmable gate arrays (Vol. 180). Springer Science & Business Media.
12. Barnett, P.C., Advanced Technology Materials Inc, 2001. Field
programmable gate array (FPGA) emulator for debugging software. U.S.
Patent 6,173,419.
12/16/2019 ASIC Design 38
Reference…
13. Rose, J., El Gamal, A. and Sangiovanni-Vincentelli, A., 1993. Architecture
of field-programmable gate arrays. Proceedings of the IEEE, 81(7), pp.1013-
1029.
14. Trimberger, S.M. ed., 2012. Field-programmable gate array technology.
Springer Science & Business Media.
15. Ebeling, W.H. and Borriello, G., Washington Research Foundation,
1993. Field programmable gate array. U.S. Patent 5,208,491.
16. Wu, J., Shi, Z. and Wang, I.Y., 2003, October. Firmware-only
implementation of time-to-digital converter (TDC) in field-programmable gate
array (FPGA). In Nuclear Science Symposium Conference Record, 2003
IEEE (Vol. 1, pp. 177-181). IEEE.
17. Khatakhotan, M., S MOS Systems Inc, 1992. Gate array architecture with
basic cell interleaved gate electrodes. U.S. Patent 5,079,614.
18. Gheewala, T.R., Breid, D.G., Sherlekar, D.D. and Colwell, M.J., Virage
Logic Corp, 2003. Gate array architecture using elevated metal levels for
customization. U.S. Patent 6,617,621.
12/16/2019 ASIC Design 39

More Related Content

What's hot

vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
Anish Gupta
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
Subash John
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
illpa
 

What's hot (20)

Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rules
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Xilinx 4000 series
Xilinx 4000 seriesXilinx 4000 series
Xilinx 4000 series
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Multipliers in VLSI
Multipliers in VLSIMultipliers in VLSI
Multipliers in VLSI
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
FPGA
FPGAFPGA
FPGA
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSI
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Pipelining approach
Pipelining approachPipelining approach
Pipelining approach
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 

Similar to Asic design

Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
babak danyal
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
nitcse
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
Đình Khanh Nguyễn
 
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
VisweswaraRaoSamoju
 

Similar to Asic design (20)

1st and 2nd Lecture
1st and 2nd Lecture1st and 2nd Lecture
1st and 2nd Lecture
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodology
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
 
Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
Fpga
FpgaFpga
Fpga
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
 
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
Michael John Sebastian Smith - Application-Specific Integrated Circuits-Addis...
 
Specialized parallel computing
Specialized parallel computingSpecialized parallel computing
Specialized parallel computing
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Lecture Slide (1).pptx
Lecture Slide (1).pptxLecture Slide (1).pptx
Lecture Slide (1).pptx
 
Fpga vs asic
Fpga vs asicFpga vs asic
Fpga vs asic
 
Resume
ResumeResume
Resume
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
Field programmable Gate Arrays Chapter 6.pdf
Field programmable Gate Arrays Chapter 6.pdfField programmable Gate Arrays Chapter 6.pdf
Field programmable Gate Arrays Chapter 6.pdf
 

More from Aksum Institute of Technology(AIT, @Letsgo)

More from Aksum Institute of Technology(AIT, @Letsgo) (13)

Ns lecture5: Introduction to Computer, Information, and Network Security.
Ns lecture5: Introduction to Computer, Information, and Network Security.Ns lecture5: Introduction to Computer, Information, and Network Security.
Ns lecture5: Introduction to Computer, Information, and Network Security.
 
Ns lecture4: Introduction to Virtual Network Protocol(VPN) and Internet Proto...
Ns lecture4: Introduction to Virtual Network Protocol(VPN) and Internet Proto...Ns lecture4: Introduction to Virtual Network Protocol(VPN) and Internet Proto...
Ns lecture4: Introduction to Virtual Network Protocol(VPN) and Internet Proto...
 
Ns lecture3: Introduction to Multi Protocol Label Switching(MPLS)
Ns lecture3: Introduction to Multi Protocol Label Switching(MPLS) Ns lecture3: Introduction to Multi Protocol Label Switching(MPLS)
Ns lecture3: Introduction to Multi Protocol Label Switching(MPLS)
 
Ns lecture2: Introduction to LAN Technology
Ns lecture2: Introduction to LAN TechnologyNs lecture2: Introduction to LAN Technology
Ns lecture2: Introduction to LAN Technology
 
Ns lecture1: Introduction to Routing Protocol
Ns lecture1: Introduction to Routing ProtocolNs lecture1: Introduction to Routing Protocol
Ns lecture1: Introduction to Routing Protocol
 
Logic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and TestingLogic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and Testing
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
ASIC vs FPGA
ASIC vs FPGAASIC vs FPGA
ASIC vs FPGA
 
Introduction to network security and lan technology
Introduction to network security and lan technologyIntroduction to network security and lan technology
Introduction to network security and lan technology
 
Basic Computer Organization and Design
Basic  Computer  Organization  and  DesignBasic  Computer  Organization  and  Design
Basic Computer Organization and Design
 
Weather Forecasting using Deep Learning A lgorithm for the Ethiopian Context
Weather Forecasting using Deep Learning A lgorithm for the Ethiopian ContextWeather Forecasting using Deep Learning A lgorithm for the Ethiopian Context
Weather Forecasting using Deep Learning A lgorithm for the Ethiopian Context
 
Globus and Gridbus
Globus and GridbusGlobus and Gridbus
Globus and Gridbus
 
Globus ppt
Globus pptGlobus ppt
Globus ppt
 

Recently uploaded

Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
ZurliaSoop
 

Recently uploaded (20)

Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structure
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
REMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxREMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptx
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 

Asic design

  • 2. Outline Introduction ASIC cell library ASIC design styles ASIC design flow ASIC design issues ASIC Vs. FPGA ASIC design tools  Reference 12/16/2019 ASIC Design 2
  • 3. Introduction 12/16/2019 ASIC Design 3 A S I C Application Specific Integrated Circuit  It is a custom integrated circuit designed and optimized to fit a specific purpose and product.
  • 4. Introduction…  Examples of ICs that are an ASICs:  a chip for a toy bear that talks;  a chip for a satellite;  a chip designed to handle the interface between memory and a microprocessor for a workstation CPU;  a chip containing a microprocessor as a cell together with other logic. 12/16/2019 ASIC Design 4
  • 5. ASIC cell library  The logic cells such as AND, OR, XoR, NOR, NAND, multiplexers, and flip-flops are predesigned by designers using different configurations, standardized and stored in the form of a library.  Cell libraries are fixed set of well- characterized logic blocks. 12/16/2019 ASIC Design 5
  • 6. ASIC cell library…  Each cell in an ASIC cell library must contain:  A physical layout  A behavioral model  A Verilog/VHDL model  A detailed timing model  A test strategy  A circuit schematic  A cell icon  A wire-load model  A routing model 12/16/2019 ASIC Design 6
  • 8. ASIC design styles… 1. Full-Custom ASIC:  Include some (possibly all) customized logic cells  Have all their mask layers customized  Manufacturing lead time is typically 8 weeks (time taken to make the IC does not include design time) 12/16/2019 ASIC Design 8
  • 9. ASIC design styles… 1) Full-Custom ASIC… Full-custom ASIC design makes sense only: When no suitable existing libraries exist or Existing library cells are not fast enough or The available pre-designed/pre-tested cells consume too much power that a design can allow or The available logic cells are not compact enough to fit or ASIC technology is new or/and so special that no cell library exits. 12/16/2019 ASIC Design 9
  • 10. ASIC design styles… 1) Full-Custom ASIC…  Advantage:  Offer highest performance  lowest cost (smallest die size)  Disadvantages:  Increased design time Increased Complexity Higher design cost Higher risk. 12/16/2019 ASIC Design 10
  • 11. ASIC design styles… 1) Full-Custom ASIC…  Future:  Maximum performance  Minimized area  Highest degree of flexibility  Examples:  Microprocessor  High-Voltage Automobile Control Chips 12/16/2019 ASIC Design 11
  • 12. ASIC design styles… 2. Semi-Custom ASIC:  Also known as cell-based ASIC, which uses a pre-designed some (possibly all) logic cells namely AND gates, OR gates, Multiplexers, Flip-flops,… (i.e. called standard libraries).  Only the placement of the standard cells and interconnection is done.  All mask layers are customized  Custom blocks embedded 12/16/2019 ASIC Design 12
  • 13. ASIC design styles… 2) Semi-Custom ASIC… 12/16/2019 ASIC Design 13
  • 14. ASIC design styles… 2) Semi-Custom ASIC…  Types: i. Standard cell-based (CBIC- “sea-bick”)  uses pre-designed library ii. Gate array (GA) based  uses pre-defined transistor in the silicon wafer. 12/16/2019 ASIC Design 14
  • 15. ASIC design styles… 2) Semi-Custom ASIC… i. Standard cell-based (CBIC- “sea-bick”)  Use predesigned logic cells (Called standard cells) from:  standard cell libraries  other mega-cells (Microcontroller or Microprocessors)  full-custom blocks  System-Level Macros(SLMs)  Functional Standard Blocks (FSBs)  cores etc 12/16/2019 ASIC Design 15
  • 16. ASIC design styles… 2) Semi-Custom ASIC… i. Standard cell-based (CBIC- “sea-bick”)… Get all mask layers customized- transistors and interconnect  Manufacturing lead time is about 8 weeks Custom blocks can be embedded  Advantages:  Save time, money, reduce risk  Standard cell optimized individually for speed or area 12/16/2019 ASIC Design 16
  • 17. ASIC design styles… 2) Semi-Custom ASIC… i. Standard cell-based (CBIC- “sea-bick”)…  Disadvantage: Time to design standard cell library  Expenses of designing std cell library  Time needed to fabricate all layers of the ASIC for new design 12/16/2019 ASIC Design 17
  • 18. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based  Transistors are predefined on the silicon wafer  Predefined pattern of transistors on a gate array is base array.  Smallest element repeated to form base array is base cell.  Only the top few layers of metal, which define the interconnect between transistors, are defined by the designer using custom masks. It is often called a masked gate array ( MGA ). 12/16/2019 ASIC Design 18
  • 19. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based…  Types: A) Channeled GA B) Channelless GA C) Structured GA 12/16/2019 ASIC Design 19
  • 20. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… A) Channeled GA 12/16/2019 ASIC Design 20  Only the interconnect is customized  Space between rows are predefined  Manufacturing lead time is between two days and two weeks
  • 21. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… A) Channeled GA…  Advantage:  Specific space for interconnection  Disadvantage:  compared to CBIC space is not adjustable 12/16/2019 ASIC Design 21
  • 22. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… B) Channelless GA (Channel free gate array, Sea-of- Gate/SOG/) 12/16/2019 ASIC Design 22  Only some (the top few) mask layers are customized  Manufacturing lead time is between two days and two weeks
  • 23. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… B) Channelless GA…  Advantage:  Logic density is higher for channelless gate array  Contact layers are customized  Disadvantage:  No specific area for routing  Rows of transistors used for routing are not used for other purpose. 12/16/2019 ASIC Design 23
  • 24. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… C) Structured GA (Masterslice or Masterimage) 12/16/2019 ASIC Design 24  Only the interconnect is customized  Custom blocks (the same for each design) can be embedded  Manufacturing lead time is between two days and two weeks
  • 25. ASIC design styles… 2) Semi-Custom ASIC… ii. Gate array based… C) Structured GA…  Advantage:  Embedded gate array set in some of IC area and dedicate to specific function-customized.  Increase area efficiency, performance of CBIC  low cost and fast turn around of MGA  Disadvantage:  Embedded function is fixed 12/16/2019 ASIC Design 25
  • 26. ASIC design styles… 3. Programmable ASIC: all of the logic cells are predesigned and none of the mask layers are customized.  Types: a) Programmable Logic Design (PLD) b) Field Programmable Gate Array (FPGA) 12/16/2019 ASIC Design 26
  • 27. ASIC design styles… 3) Programmable ASIC… a) Programmable Logic Design (PLD): No customized mask layers or logic cells Fast design turnaround A single large block of programmable interconnect A matrix of logic macro cells that usually consist of programmable array logic followed by a flip-flop or latch 12/16/2019 ASIC Design 27
  • 28. ASIC design styles… 3) Programmable ASIC… a) Programmable Logic Design (PLD)… 12/16/2019 ASIC Design 28 A programmable logic device (PLD) die
  • 29. ASIC design styles… 3) Programmable ASIC… a) Programmable Logic Design (PLD)…  Types: Programmable Logic Array (PLA):  has a programmable AND logic array, or AND plane , followed by a programmable OR logic array, or OR plane Programmable Array Logic (PAL):  has a programmable AND plane and, in contrast to a PLA, a fixed OR plane. 12/16/2019 ASIC Design 29
  • 30. ASIC design styles… 3) Programmable ASIC… b) Field Programmable Gate Array (FPGA): None of the mask layers are customized. A method for programming the basic logic cells and the interconnect. The core is a regular array of programmable basic logic cells that can implement combinational as well as sequential logic (flip-flops). A matrix of programmable interconnect surrounds the basic logic cells. Programmable I/O cells surround the core. Design turnaround is a few hours. 12/16/2019 ASIC Design 30
  • 31. ASIC design styles… 3) Programmable ASIC… b) Field Programmable Gate Array (FPGA)… 12/16/2019 ASIC Design 31 Field-programmable gate array (FPGA) die
  • 32. ASIC design flow 12/16/2019 ASIC Design 32
  • 33. ASIC design flow… 1. Design entry: Enter the design into an ASIC design system, either using a hardware description language(HDL) or schematic entry. 2. Logic synthesis: Use an HDL (VHDL or Verilog) and a logic synthesis tool to produce a netlist description of the logic cells and their connections. 3. System partitioning: Divide a large system into ASIC- sized pieces. 4. Pre-layout simulation: Check to see if the design functions correctly. 5. Floor planning: Arrange the blocks of the netlist on the chip. 6. Placement: Decide the locations of cells in a block. 7. Routing: Make the connections between cells and blocks. 8. Extraction: Determine the resistance and capacitance of the interconnect. 9. Post-layout simulation: Check to see the design still works with the added loads of the interconnect. 12/16/2019 ASIC Design 33
  • 34. ASIC design issues 12/16/2019 ASIC Design 34  Factors to consider before design:  Performance  Functionality  Design Techniques  Physical dimensions  Fabrication technology  Specification  Area  Speed  Power
  • 35. ASIC Vs. FPGA 12/16/2019 ASIC Design 35 No. FPGA ASIC 1 Reconfigurable circuit. FPGAs can be reconfigured with a different design. They even have capability to reconfigure a part of chip while remaining areas of chip are still working! This feature is widely used in accelerated computing in data centres. Permanent circuitry. Once the application specific circuit is taped- out into silicon, it cannot be changed. The circuit will work same for its complete operating life. 2 Design is specified generally using hardware description languages (HDL) such as VHDL or Verilog. Same as for FPGA. Design is specified using HDL such as Verilog, VHDL etc. Note: For more information click here
  • 37. Reference 1. Rajeev Jayaraman, Xilinx Inc, 2001 https://www.doc.ic.ac.uk/~wl/teachlocal/arch/killasic.pdf 2. Fasang, P.P., Mullins, D. and Wong, T., 1988, May. Design for testability for mixed analog/digital ASICs. In Custom Integrated Circuits Conference, 1988., Proceedings of the IEEE 1988 (pp. 16- 5). IEEE. 3. Bhatnagar, H., 2007. Advanced ASIC Chip Synthesis: Using Synopsys® Design CompilerTM Physical CompilerTM and PrimeTime®. Springer Science & Business Media. 4. Bansal, J.P., BAE Systems Information and Electronic Systems Integration Inc, 2004. Gate array core cell for VLSI ASIC devices. U.S. Patent 6,765,245. 5. Wu, K.C. and Tsai, Y.W., 2004, April. Structured ASIC, evolution or revolution?. In Proceedings of the 2004 international symposium on Physical design (pp. 103-106). ACM. 12/16/2019 ASIC Design 37
  • 38. Reference… 6. Crosetto, D.B., D Computing Inc, 1999. High-speed, parallel, processor architecture for front-end electronics, based on a single type of ASIC, and method use thereof. U.S. Patent 5,937,202. 7. Trimberger, S.M., Xilinx Inc, 2003. Method for making large-scale ASIC using pre-engineered long distance routing structure. U.S. Patent 6,601,227. 8. Rajsuman, R., 2000. System-on-a-chip: Design and Test. Artech House, Inc.. 9. Hamida, N.B. and Kaminska, B., 1993, October. Analog circuit testing based on sensitivity computation and new circuit modeling. In Proceedings of IEEE International Test Conference-(ITC) (pp. 652-661). IEEE. 10. Rose, J., Francis, R.J., Lewis, D. and Chow, P., 1990. Architecture of field- programmable gate arrays: The effect of logic block functionality on area efficiency. IEEE Journal of Solid-State Circuits, 25(5), pp.1217-1225. 11. Brown, S.D., Francis, R.J., Rose, J. and Vranesic, Z.G., 2012. Field- programmable gate arrays (Vol. 180). Springer Science & Business Media. 12. Barnett, P.C., Advanced Technology Materials Inc, 2001. Field programmable gate array (FPGA) emulator for debugging software. U.S. Patent 6,173,419. 12/16/2019 ASIC Design 38
  • 39. Reference… 13. Rose, J., El Gamal, A. and Sangiovanni-Vincentelli, A., 1993. Architecture of field-programmable gate arrays. Proceedings of the IEEE, 81(7), pp.1013- 1029. 14. Trimberger, S.M. ed., 2012. Field-programmable gate array technology. Springer Science & Business Media. 15. Ebeling, W.H. and Borriello, G., Washington Research Foundation, 1993. Field programmable gate array. U.S. Patent 5,208,491. 16. Wu, J., Shi, Z. and Wang, I.Y., 2003, October. Firmware-only implementation of time-to-digital converter (TDC) in field-programmable gate array (FPGA). In Nuclear Science Symposium Conference Record, 2003 IEEE (Vol. 1, pp. 177-181). IEEE. 17. Khatakhotan, M., S MOS Systems Inc, 1992. Gate array architecture with basic cell interleaved gate electrodes. U.S. Patent 5,079,614. 18. Gheewala, T.R., Breid, D.G., Sherlekar, D.D. and Colwell, M.J., Virage Logic Corp, 2003. Gate array architecture using elevated metal levels for customization. U.S. Patent 6,617,621. 12/16/2019 ASIC Design 39