SlideShare a Scribd company logo
Zynq + Synthesijer 入門
わさらぼ 三好 健文
2015.3.16
2
この資料について
✔ この資料はZynqとSynthesijerを組み合わせたシステム設計の 
とっかかりにしてもらことを目的にしています
✔ ターゲットボードは,ZedboardおよびZyboです
✔ Linuxでの実行を想定しています.
Windowsではコマンドを多少読み違える必要があります.
✔ Java8が必要です.
✔ 例題および流れは 杉本様 作の
 Zynq + Vivado HLS入門
慶應義塾大学 天野研究室 杉本 成
http://www.slideshare.net/narusugimoto/zynq-vivado-hls
にならっています
3
この入門でのゴール
PS PL
AXI-GP
ARM
DDR Ctrl.
AXI-HP
DDR3
AXIHP_MEMCPY
(1) (3)
(2)
(4)
DDR3のある領域(src)を別の領域(dst)にコピーする
(1) ARM上のソフトウェアである領域にデータを書く
(2) PL上のハードウェアの動作をキック
(3) ある領域のデータを別の領域にコピー
(4) 終わったことをPSに通知
4
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
5
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
6
Synthesijer関連リソースの準備
✔ Synthesijer用のディレクトリ(例 $HOME/synthesijer)を作成
✔ Synthesijer*1のページからjar,lib,applicationsをダウンロード
✔ lib,applicationsを展開
✔ jarにSYNTHESIJERという環境変数をセット
✔ libの展開ディレクトリにSYNTHESIJER_LIBをセット
✔ applicationsの展開ディレクトリにSYNTEHSIJER_APPをセット
✔ 作業用ディレクトリ($HOME/synthesijer/work)を作って移動
作業の概要
*1
https://sourceforge.net/projects/synthesijer/files/synthesijer-2.0/
7
Synthesijer関連リソースの準備
% mkdir $HOME/synthesijer
% cd $HOME/synthesijer
% wget https://sourceforge.net/projects/synthesijer/files/synthesijer-2.0/
synthesijer-20150315.jar
% wget https://sourceforge.net/projects/synthesijer/files/synthesijer-2.0/
synthesijer_lib_20150315.zip
% wget https://sourceforge.net/projects/synthesijer/files/synthesijer-2.0/
synthesijer-applications_20150315.zip
% export SYNTHESIJER=$HOME/synthesijer/synthesijer-20150315.jar
% unzip synthesijer_lib_20150315.zip
% export SYNTHESIJER_LIB=$HOME/synthesijer/synthesijer_lib_20150315
% unzip synthesijer-applications_20150315.zip
% export SYNTHESIJER_APP=$HOME/synthesijer/synthesijer-applications_20150315
% mkdir $HOME/synthesijer/work
% cd $HOME/synthesijer/work
作業の例
8
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
9
PL上のハードウェアの設計
✔ Javaコードを記述
✔ SynthesijerでJavaコードをコンパイル
✔ IPパッケージの作成(必要なソースをディレクトリにコピー)
– $SYNTHESIJER_APP/hdl/vhdl/axi_lite_slave_32.vhd
– $SYNTHESIJER_LIB/vhdl/dualportram.vhd
– $SYNTHESIJER_APP/hdl/vhdl/simple_axi_memiface_32.vhd
– synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd
– AXIHP_MEMCPY.vhd
作業の概要
10
Javaのコードを記述
import synthesijer.lib.axi.*;
import synthesijer.rt.*;
class AXIHP_MEMCPY{
private final AXILiteSlave32RTL s0 = new AXILiteSlave32RTL();
private final SimpleAXIMemIface32RTLTest m0 =
new SimpleAXIMemIface32RTLTest();
private void run(){
int src_addr = s0.data[1];
int dest_addr = s0.data[2];
for(int i = 0; i < 256; i++){
int d = m0.read_data(src_addr + (i<<2));
m0.write_data(dest_addr + (i<<2), d);
}
}
@auto
public void main(){
s0.data[0] = 0x00000000;
while(s0.data[0] == 0x00000000) ; // wait for kick from PS
run();
s0.data[0] = 0x00000000; // to notify DONE to PS
}
}
AXHP_MEMCPY.java を書く
11
SynthesijerでJavaコードをコンパイル
% java -cp $SYNTHESIJER:$SYNTHESIJER_APP/bin:. synthesijer.Main 
--ip-exact=AXIHP_MEMCPY 
AXIHP_MEMCPY.java 
$SYNTHESIJER_APP/src/synthesijer/lib/axi/AXILiteSlave32RTL.java 
$SYNTHESIJER_APP/src/synthesijer/lib/axi/SimpleAXIMemIface32RTL.java 
$SYNTHESIJER_APP/src/synthesijer/lib/axi/SimpleAXIMemIface32RTLTest.java
SchdulerBoard init: AXIHP_MEMCPY
SchdulerBoard init: synthesijer.lib.axi.SimpleAXIMemIface32RTLTest
Compile: AXIHP_MEMCPY
Info: enters into >>>
…
Output VHDL: AXIHP_MEMCPY.vhd
Output VHDL: synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd
…
% ls AXIHP_MEMCPY.vhd
AXIHP_MEMCPY.vhd
% ls AXIHP_MEMCPY_v1_0/
component.xml src xgui
AXIHP_MEMCPYをSynthsijerでコンパイル
作成されたHDLコードを確認
IPパッケージ用のテンプレートディレクトリ
コンパイル
メッセージ
12
IPパッケージの作成
% grep src AXIHP_MEMCPY_v1_0/component.xml
<spirit:name>src/axi_lite_slave_32.vhd</spirit:name>
<spirit:name>src/dualportram.vhd</spirit:name>
<spirit:name>src/synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd</sp...
<spirit:name>src/simple_axi_memiface_32.vhd</spirit:name>
<spirit:name>src/AXIHP_MEMCPY.vhd</spirit:name>
<spirit:name>src/axi_lite_slave_32.vhd</spirit:name>
<spirit:name>src/dualportram.vhd</spirit:name>
<spirit:name>src/synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd</sp...
<spirit:name>src/simple_axi_memiface_32.vhd</spirit:name>
<spirit:name>src/AXIHP_MEMCPY.vhd</spirit:name>
%
% cp $SYNTHESIJER_APP/hdl/vhdl/axi_lite_slave_32.vhd AXIHP_MEMCPY_v1_0/src
% cp $SYNTHESIJER_LIB/vhdl/dualportram.vhd AXIHP_MEMCPY_v1_0/src
% cp synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd AXIHP_MEMCPY_v1_0/src
% cp $SYNTHESIJER_APP/hdl/vhdl/simple_axi_memiface_32.vhd AXIHP_MEMCPY_v1_0/src
% cp AXIHP_MEMCPY.vhd AXIHP_MEMCPY_v1_0/src/
% ls AXIHP_MEMCPY_v1_0/src
AXIHP_MEMCPY.vhd
dualportram.vhd
synthesijer_lib_axi_SimpleAXIMemIface32RTLTest.vhd
axi_lite_slave_32.vhd
simple_axi_memiface_32.vhd
必要なソースコードをIPパッケージ用ディレクトリにコピー
必要な
ファイル
必要な
ファイルが
コピーできた
13
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
14
Vivadoでの合成
✔ Vivadoのプロジェクト作成
✔ Processing System (PS) の追加とパラメタ設定
✔ AXIHP_MEMCPYモジュールの追加
✔ HDLラッパーの生成と修正
✔ 合成
作業の概要
15
プロジェクト作成〜PSの追加・設定
✔ 基本的には
Zynq + Vivado HLS入門
慶應義塾大学 天野研究室 杉本 成
http://www.slideshare.net/narusugimoto/zynq-vivado-hls
の
p.58(VIVADO “Projectの作成 1/9”)〜                
    p.83(VIVADO “PS入出力ポート生成3/3”)を参照
ただし,次のような手順で設定.
✔ プロジェクトは$HOME/synthesijer/workの下にproject_1として作成
✔ Zedboardの場合: プリセットを最初に読んでUART1以外を削除
✔ Zyboの場合: ZYBO_zynq_def.xmlをimortしてUART1以外を削除
✔ HP0の幅を32bitに変更(PSの設定5/7 相当)
✔ 割り込みはなし(PSの設定6/7 相当はスキップ)
16
AXIHP_MEMCPYモジュールの追加
✔ IPコア参照リポジトリの追加
✔ コアのインスタンシエーション
✔ ポートの処理
✔ AXIポートの接続(自動接続に任せる)
✔ AXIHP_MEMCPYの雑多なポートの処理
✔ axi_inter_memconのACLK/ARESETNの処理
✔ pheripheral_aresetnを外部に引き出す
作業の概要
17
AXIHP_MEMCPYモジュールの追加(1)
IPコア参照リポジトリの追加
(1) 設定ダイアログを開く
(2) Add Repository...をクリック
(3) 作成したAXIHPMEM_CPY_v1_0
を選択
(4) AXIHPMEM_CPY_v1_0が
  見えたらOK.[OK]で終了
追加できた!!
18
AXIHP_MEMCPYモジュールの追加(2)
コアのインスタンシエーション
(1) コアの追加ダイアログをクリック
(2) AXIHP_MEMCPYを選ぶ(Search:を使うと楽に選択できる)
(3) AXIHP_MEMCPYのインスタンスを
  追加できた
19
AXIHP_MEMCPYモジュールの追加(3.1)
ポートの処理(AXIポートの自動接続)
(1) Run Connection Automation を 選択
(2) All automation の チェックボックスを選択して [OK]
20
AXIHP_MEMCPYモジュールの追加(3.2)
ポートの処理(AXIポートの処理が完了したところ)
次の次のスライドで
ここの処理を行う
次のスライドではここの処理を行う.
ズームインすると作業しやすい
次の次の次のスライドで
ここの処理を行う
21
AXIHP_MEMCPYモジュールの追加(3.3)
ポートの処理(AXIHP_MEMCPYのその他のポートの処理)
(1) clkをM_AXI_GPI0_ACLKなどの
ワイヤと接続*1
*1
マウスでポートを選択.マウスカーソルが鉛筆状になった状態で接続元から接続先まで
ドラッグ&ドロップするとよい
resetと.._forbid_..は
GUIではなくラーッパーモジュールで代入したいので,
外部ポートとして出力.
それぞれ,
(1) ポートをクリック
(2) Ctrl-T または 右クリックして “Make External”
をする.
22
AXIHP_MEMCPYモジュールの追加(3.4)
ポートの処理(axi_inter_memconのACLKとARESETNの処理)
ACLKをS00_ACLKに
ARESETNをS00_ARESETNに
接続する
23
AXIHP_MEMCPYモジュールの追加(3.5)
ポートの処理(peripheral_aresetnを外部に引き出す)
(1) peripheral_aresetnを選択
(2) 右クリックでメニューを開いて,
Create Portを選択して生成
(ダイアログはOKでよい)
注: この信号は,本当にFPGAの
チップ外に引き出したいわけではなく
ラッパーモジュールで扱うために
引き出す.
24
AXIHP_MEMCPYモジュールの追加(3.6)
ポートの処理(検証)
(1) Validate Designを選択
/AXIHP_MEMCPY_0/class_s0_0000_axiと
/AXIHP_MEMCPY_0/class_m0_0002_class_obj_0000_axiの
クロックに関する警告がでる...のは現状想定の範囲内なのでOK
25
HDLラッパーの生成と修正
✔ Project SettingでTarget LanguageがVerilogなことを確認
✔ VHDLの方が好きな人はVHDLでも良い.この資料ではVerilogで話を進める
✔ Sourcesタブのdesign_1.bdからラッパーを生成
✔ resetとforbid信号の取り扱いを修正
✔ ボードデザイン(GUIでの設計)では都合上ポートを作成したがチップ外部に
引き出したいわけではない
✔ resetには~pheripheral_aresetnを接続
✔ .._forbid_.. には 1'b0を与える
✔
この信号1'b1を与えるとAXIアクセスを強制禁止できる
✔
今回は禁止*しない*ので即値で1'b0を指定
作業の概要
26
HDLラッパーの生成と修正(1)
HDLラッパーの生成
(1) design_1.bdで右クリックして
Create HDL Wrapper...を選択
(1.1)
(1.2)
(1.1)
(1.3)
(2) Copy generated… の方を選択する
(3) HDLラッパー
(desgin_1_wrapper.v)が生成される
27
HDLラッパーの生成と修正(2)
HDLラッパーの修正
変更前 変更後
特にコンマの扱いに注意.
削除
メモ:GUIで生成した外部ポートはラッパーモジュールでは
直接FPGA外部へ引き出されるポートになる.
今回はデザイン内部で利用したいだけなので,FPGAの外には出さない.
28
HDLラッパーの生成と修正(3)
HDLラッパーの修正
変更前 変更後
削除
メモ:GUIで生成した外部ポートはラッパーモジュールでは
直接FPGA外部へ引き出されるポートになる.
今回はデザイン内部で利用したいだけなので,FPGAの外には出さない.
29
HDLラッパーの生成と修正(4)
HDLラッパーの修正
変更前 変更後
変更箇所
- Javaで書いたモジュールにperipheral_resetの極性を反転したものを与える
- forbid信号には1'b0(forbidしない,常にAXIアクセスを有効にするの意)を設定.
30
合成
✔ Flow NavigatorのGenerate Bitstreamをクリックして合成
✔ 途中AXIHP_MEMCPYのclkについて警告がでる
✔ 今回のケースではOKで続行
31
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
32
ARM上のソフトウェア開発のための準備
✔ ハードウェアプロジェクトのエクスポートとSDKの起動
✔ BSPの生成
✔ アプリケーションプロジェクトの生成
✔ Cソースファイルの生成
作業の概要
33
エクスポートとSDKの起動(1)
ハードウェアプロジェクトのエクスポート
File → Export → Export Hardware… を選択
Include bitstreamのチェックボックスの
チェックを入れて,[OK]
34
エクスポートとSDKの起動(2)
SDKの起動
File → Launch SDK を選択
そのままで[OK]
35
BSPの生成〜Cソースファイルの作成
✔ Zynq + Vivado HLS入門
慶應義塾大学 天野研究室 杉本 成
http://www.slideshare.net/narusugimoto/zynq-vivado-hls
の
p.117(SDK “Board Support Packageの生成1/4”)〜      
     p.127(SDK “Fileの生成3/3”)を参照
36
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
37
ARM上のソースコードの記述
✔ ソフトウェアの概要は
Zynq + Vivado HLS入門
慶應義塾大学 天野研究室 杉本 成
http://www.slideshare.net/narusugimoto/zynq-vivado-hls
の
p.128(SDK HLSコア制御アプリケーション雛形)〜       
     p.136(SDK “axihp_memcpyソフトウェア”)を参照
✔ レジスタ構成が若干違う
✔ 最終的なソースコードは次の通り
38
ARM上のソースコードの記述
#include "xil_printf.h"
int main()
{
Xil_DCacheDisable();
int i, mismatch = 0;
volatile unsigned int src_data[256], dst_data[256];
for(i = 0; i < 256; i++) src_data[i] = i;
unsigned int *baseaddr = (unsigned int*)0x43c00000;
xil_printf("rn");
baseaddr[1] = (unsigned int)src_data;
baseaddr[2] = (unsigned int)dst_data;
baseaddr[0] = 0xFFFFFFFF;
xil_printf("memcpy start, src=%08x dest=%08xnr", src_data, dst_data);
while(baseaddr[0] != 0) ;
xil_printf("memcpy donenr");
for(i = 0; i < 256; i++){
xil_printf("src_data[%d] = %d, ", i, src_data[i]);
xil_printf("dst_data[%d] = %dnr", i, dst_data[i]);
if(src_data[i] != dst_data[i]) mismatch = 1;
}
(mismatch==0)? xil_printf("memcpy success!nr") :
xil_printf("memcpy failnr");
return 0;
}
Synthesijerで作ったコアへの
パラメタ渡しと制御の開始
39
作業の手順
✔ Synthesijer関連 リソースの準備
✔ PL上のハードウェアの設計(Javaコードの記述とコンパイル)
✔ Vivadoでの合成
✔ ARM上のソフトウェア開発のための準備
✔ ARM上のソフトウェアの記述
✔ ソフトウェアのコンパイルと実行
40
ソフトウェアのコンパイルと実行
✔ ソフトウェアのコンパイルと実行の概要は
Zynq + Vivado HLS入門
慶應義塾大学 天野研究室 杉本 成
http://www.slideshare.net/narusugimoto/zynq-vivado-hls
の
p.137(SDK ”ソフトウェアのコンパイル”1/2)〜        
     p.145(SDK “実行結果”)を参照
✔ bitstreamのパスはデフォルトで選択されるものを使用
✔ cuがなければscreenでもOK.
✔ Zedboardなら: screen /dev/ttyACM0 115200
✔ Zyboなら: screen /dev/ttyUSB1 115200
41
結果を確認
42
今回のデザインへのエクスキューズ
✔ SimpleAXIMemIface32RTLTestは32bitのアクセスのた
びに毎回AXIイベントを発行しています.より高速な転送
のためには,バースト転送をする必要があるでしょう(次頁
参照).
✔ 割り込みについてについては,特に考えられていませ
ん.うまく扱えるようにしたいものです.
✔ BDに対する,CLK, RESETでのCritical Warningは気持ち
が悪いのでなんとかしないといけません.
43
Javaのコードを記述
import synthesijer.lib.axi.*;
import synthesijer.rt.*;
public class AXIHP_MEMCPY2{
private final AXILiteSlave32RTL s0 = new AXILiteSlave32RTL();
private final AXIMemIface32RTLTest m0 = new AXIMemIface32RTLTest();
private void run(){
int src_addr = s0.data[1];
int dest_addr = s0.data[2];
m0.fetch(src_addr, 256);
m0.flush(dest_addr, 256);
}
@auto
public void main(){
s0.data[0] = 0x00000000;
while(s0.data[0] == 0x00000000) ; // wait for kick from PS
run();
s0.data[0] = 0x00000000; // to notify DONE to PS
}
}
AXHP_MEMCPY2.java を書く(バースト版)
Javaで1ワードずつコピーする
わけではないので高速
44
補足1: CentOSのJava8のインストール
✔ たとえば
http://qiita.com/hajimeni/items/67d9e9b0d169bf68d1c9
を参考にするなどしてインストールしてください

More Related Content

What's hot

SDSoC と Vivado
SDSoC と VivadoSDSoC と Vivado
SDSoC と Vivado
ryos36
 
はじめての CircleCI
はじめての CircleCIはじめての CircleCI
はじめての CircleCI
Yosuke Mizutani
 
Kubernetes Security with DevSecOps
Kubernetes Security with DevSecOpsKubernetes Security with DevSecOps
Kubernetes Security with DevSecOps
Shingo Kitayama
 
JetsonでOpenCV
 JetsonでOpenCV JetsonでOpenCV
JetsonでOpenCV
tomoaki0705
 
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
NTT DATA Technology & Innovation
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方
Mr. Vengineer
 
Use JWT access-token on Grails REST API
Use JWT access-token on Grails REST APIUse JWT access-token on Grails REST API
Use JWT access-token on Grails REST API
Uehara Junji
 
2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて
Masahito Zembutsu
 
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
gree_tech
 
Drone.io のご紹介
Drone.io のご紹介Drone.io のご紹介
Drone.io のご紹介
Uchio Kondo
 
wxPython入門(大阪Pythonユーザの集まり2014/03)
wxPython入門(大阪Pythonユーザの集まり2014/03)wxPython入門(大阪Pythonユーザの集まり2014/03)
wxPython入門(大阪Pythonユーザの集まり2014/03)
泰 増田
 
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
NTT DATA Technology & Innovation
 
Edge os(vyos)の基本(入門編)
Edge os(vyos)の基本(入門編)Edge os(vyos)の基本(入門編)
Edge os(vyos)の基本(入門編)
Akira Kaneda
 
Android起動周りのノウハウ
Android起動周りのノウハウAndroid起動周りのノウハウ
Android起動周りのノウハウchancelab
 
PySide/QtWebkitで楽々 slideshare Hack
PySide/QtWebkitで楽々 slideshare HackPySide/QtWebkitで楽々 slideshare Hack
PySide/QtWebkitで楽々 slideshare HackKazushige TAKEUCHI
 
Zynq VIPを利用したテストベンチ
Zynq VIPを利用したテストベンチZynq VIPを利用したテストベンチ
Zynq VIPを利用したテストベンチ
Mr. Vengineer
 
密着!わたしのコンソールアプリ開発環境
密着!わたしのコンソールアプリ開発環境密着!わたしのコンソールアプリ開発環境
密着!わたしのコンソールアプリ開発環境
Fumihito Yokoyama
 
QtでHello, World!!
QtでHello, World!!QtでHello, World!!
QtでHello, World!!
treby
 
インフラエンジニアのためのRancherを使ったDocker運用入門
インフラエンジニアのためのRancherを使ったDocker運用入門インフラエンジニアのためのRancherを使ったDocker運用入門
インフラエンジニアのためのRancherを使ったDocker運用入門
Masahito Zembutsu
 
Let's play with Goldfish
Let's play with GoldfishLet's play with Goldfish
Let's play with Goldfish
Tetsuyuki Kobayashi
 

What's hot (20)

SDSoC と Vivado
SDSoC と VivadoSDSoC と Vivado
SDSoC と Vivado
 
はじめての CircleCI
はじめての CircleCIはじめての CircleCI
はじめての CircleCI
 
Kubernetes Security with DevSecOps
Kubernetes Security with DevSecOpsKubernetes Security with DevSecOps
Kubernetes Security with DevSecOps
 
JetsonでOpenCV
 JetsonでOpenCV JetsonでOpenCV
JetsonでOpenCV
 
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
コンテナを突き破れ!! ~コンテナセキュリティ入門基礎の基礎~(Kubernetes Novice Tokyo #11 発表資料)
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方
 
Use JWT access-token on Grails REST API
Use JWT access-token on Grails REST APIUse JWT access-token on Grails REST API
Use JWT access-token on Grails REST API
 
2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて
 
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
「釣り★スタ」でのCocos2d-JSを使ってのアプリアップデート事例 (1)
 
Drone.io のご紹介
Drone.io のご紹介Drone.io のご紹介
Drone.io のご紹介
 
wxPython入門(大阪Pythonユーザの集まり2014/03)
wxPython入門(大阪Pythonユーザの集まり2014/03)wxPython入門(大阪Pythonユーザの集まり2014/03)
wxPython入門(大阪Pythonユーザの集まり2014/03)
 
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
コンテナセキュリティにおける権限制御(OCHaCafe5 #3 Kubernetes のセキュリティ 発表資料)
 
Edge os(vyos)の基本(入門編)
Edge os(vyos)の基本(入門編)Edge os(vyos)の基本(入門編)
Edge os(vyos)の基本(入門編)
 
Android起動周りのノウハウ
Android起動周りのノウハウAndroid起動周りのノウハウ
Android起動周りのノウハウ
 
PySide/QtWebkitで楽々 slideshare Hack
PySide/QtWebkitで楽々 slideshare HackPySide/QtWebkitで楽々 slideshare Hack
PySide/QtWebkitで楽々 slideshare Hack
 
Zynq VIPを利用したテストベンチ
Zynq VIPを利用したテストベンチZynq VIPを利用したテストベンチ
Zynq VIPを利用したテストベンチ
 
密着!わたしのコンソールアプリ開発環境
密着!わたしのコンソールアプリ開発環境密着!わたしのコンソールアプリ開発環境
密着!わたしのコンソールアプリ開発環境
 
QtでHello, World!!
QtでHello, World!!QtでHello, World!!
QtでHello, World!!
 
インフラエンジニアのためのRancherを使ったDocker運用入門
インフラエンジニアのためのRancherを使ったDocker運用入門インフラエンジニアのためのRancherを使ったDocker運用入門
インフラエンジニアのためのRancherを使ったDocker運用入門
 
Let's play with Goldfish
Let's play with GoldfishLet's play with Goldfish
Let's play with Goldfish
 

Viewers also liked

Synthesijer hls 20150116
Synthesijer hls 20150116Synthesijer hls 20150116
Synthesijer hls 20150116
Takefumi MIYOSHI
 
Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)
Takefumi MIYOSHI
 
Zynq+PyCoRAM(+Debian)入門
Zynq+PyCoRAM(+Debian)入門Zynq+PyCoRAM(+Debian)入門
Zynq+PyCoRAM(+Debian)入門
Shinya Takamaeda-Y
 
IPA未踏成果報告会
IPA未踏成果報告会IPA未踏成果報告会
IPA未踏成果報告会Ito Takahiro
 
Web MIDI meets DIY #0
Web MIDI meets DIY #0Web MIDI meets DIY #0
Web MIDI meets DIY #0
Ryoya Kawai
 
Synverll
SynverllSynverll
Synverll
Hidemi Ishihara
 
Midi with android
Midi with androidMidi with android
Midi with android
kshoji
 
Javaで作る超簡易x86エミュレータ
Javaで作る超簡易x86エミュレータJavaで作る超簡易x86エミュレータ
Javaで作る超簡易x86エミュレータDaisuke Kamikawa
 
自作x86エミュレータの終焉
自作x86エミュレータの終焉自作x86エミュレータの終焉
自作x86エミュレータの終焉Daisuke Kamikawa
 
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
CODE BLUE
 
Kpt×ナース(公開版)
Kpt×ナース(公開版)Kpt×ナース(公開版)
Kpt×ナース(公開版)Noriyuki Nemoto
 
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1Hirotaka Kawata
 
Pyconjp2016 pyftplib
Pyconjp2016 pyftplibPyconjp2016 pyftplib
Pyconjp2016 pyftplib
Shinya Okano
 
PostgreSQL運用管理入門
PostgreSQL運用管理入門PostgreSQL運用管理入門
PostgreSQL運用管理入門
Yoshiyuki Asaba
 
LLVM最適化のこつ
LLVM最適化のこつLLVM最適化のこつ
LLVM最適化のこつ
MITSUNARI Shigeo
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミング
ryos36
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応
marsee101
 
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだことKPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
ESM SEC
 
Vivado hlsのシミュレーションとhlsストリーム
Vivado hlsのシミュレーションとhlsストリームVivado hlsのシミュレーションとhlsストリーム
Vivado hlsのシミュレーションとhlsストリーム
marsee101
 

Viewers also liked (20)

Synthesijer hls 20150116
Synthesijer hls 20150116Synthesijer hls 20150116
Synthesijer hls 20150116
 
Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)
 
Zynq+PyCoRAM(+Debian)入門
Zynq+PyCoRAM(+Debian)入門Zynq+PyCoRAM(+Debian)入門
Zynq+PyCoRAM(+Debian)入門
 
IPA未踏成果報告会
IPA未踏成果報告会IPA未踏成果報告会
IPA未踏成果報告会
 
Web MIDI meets DIY #0
Web MIDI meets DIY #0Web MIDI meets DIY #0
Web MIDI meets DIY #0
 
Synverll
SynverllSynverll
Synverll
 
Midi with android
Midi with androidMidi with android
Midi with android
 
Javaで作る超簡易x86エミュレータ
Javaで作る超簡易x86エミュレータJavaで作る超簡易x86エミュレータ
Javaで作る超簡易x86エミュレータ
 
自作x86エミュレータの終焉
自作x86エミュレータの終焉自作x86エミュレータの終焉
自作x86エミュレータの終焉
 
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
[CB16] バイナリロックスターになる:Binary Ninjaによるプログラム解析入門 by Sophia D’Antoine
 
Kpt×ナース(公開版)
Kpt×ナース(公開版)Kpt×ナース(公開版)
Kpt×ナース(公開版)
 
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1
バイナリより低レイヤな話 (プロセッサの心を読み解く) - カーネル/VM探検隊@北陸1
 
Pyconjp2016 pyftplib
Pyconjp2016 pyftplibPyconjp2016 pyftplib
Pyconjp2016 pyftplib
 
PostgreSQL運用管理入門
PostgreSQL運用管理入門PostgreSQL運用管理入門
PostgreSQL運用管理入門
 
LLVM最適化のこつ
LLVM最適化のこつLLVM最適化のこつ
LLVM最適化のこつ
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミング
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応
 
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだことKPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
KPTの理論と実践 公開用 プロジェクトへの「ふりかえりカイゼン」の導入で学んだこと
 
Gpu vs fpga
Gpu vs fpgaGpu vs fpga
Gpu vs fpga
 
Vivado hlsのシミュレーションとhlsストリーム
Vivado hlsのシミュレーションとhlsストリームVivado hlsのシミュレーションとhlsストリーム
Vivado hlsのシミュレーションとhlsストリーム
 

Similar to Synthesijer zynq qs_20150316

今最もアツイdistribution Gentoo Linuxについて
今最もアツイdistribution Gentoo Linuxについて今最もアツイdistribution Gentoo Linuxについて
今最もアツイdistribution Gentoo LinuxについてTakuto Matsuu
 
Osc2013 tokyospring
Osc2013 tokyospringOsc2013 tokyospring
Osc2013 tokyospring
Masataka Tsukamoto
 
Node-REDのロードマップや見どころ
Node-REDのロードマップや見どころNode-REDのロードマップや見どころ
Node-REDのロードマップや見どころ
BMXUG
 
Intel graphics
Intel graphicsIntel graphics
Intel graphics
Yuichiro Naito
 
JIT のコードを読んでみた
JIT のコードを読んでみたJIT のコードを読んでみた
JIT のコードを読んでみた
y-uti
 
20190920 hannaripython20
20190920 hannaripython2020190920 hannaripython20
20190920 hannaripython20
Otazo Man
 
スタート低レイヤー #0
スタート低レイヤー #0スタート低レイヤー #0
スタート低レイヤー #0Kiwamu Okabe
 
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
Yoshimasa Kawano
 
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
tamtam180
 
Getting Started GraalVM (再アップロード)
Getting Started GraalVM (再アップロード)Getting Started GraalVM (再アップロード)
Getting Started GraalVM (再アップロード)
tamtam180
 
Gruntでjava script前作業の自動化!
Gruntでjava script前作業の自動化!Gruntでjava script前作業の自動化!
Gruntでjava script前作業の自動化!
Tanaka Yuichi
 
Infrastructure as CodeでReNom環境構築入門
Infrastructure as CodeでReNom環境構築入門Infrastructure as CodeでReNom環境構築入門
Infrastructure as CodeでReNom環境構築入門
ReNom User Group
 
今流行りのウェブアプリ開発環境Yeoman
今流行りのウェブアプリ開発環境Yeoman今流行りのウェブアプリ開発環境Yeoman
今流行りのウェブアプリ開発環境Yeomantomo_masakura
 
Rocroにおけるgcp活用事例
Rocroにおけるgcp活用事例Rocroにおけるgcp活用事例
Rocroにおけるgcp活用事例
Kishin Yagami
 
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
Wataru NOGUCHI
 
Dodai projectの紹介
Dodai projectの紹介Dodai projectの紹介
Dodai projectの紹介Osamu Habuka
 
Riscv+fpga200606
Riscv+fpga200606Riscv+fpga200606
Node予備校 vol.1 名古屋
Node予備校 vol.1 名古屋Node予備校 vol.1 名古屋
Node予備校 vol.1 名古屋
Mori Shingo
 

Similar to Synthesijer zynq qs_20150316 (20)

Pdp11 on-fpga
Pdp11 on-fpgaPdp11 on-fpga
Pdp11 on-fpga
 
今最もアツイdistribution Gentoo Linuxについて
今最もアツイdistribution Gentoo Linuxについて今最もアツイdistribution Gentoo Linuxについて
今最もアツイdistribution Gentoo Linuxについて
 
Osc2013 tokyospring
Osc2013 tokyospringOsc2013 tokyospring
Osc2013 tokyospring
 
Node-REDのロードマップや見どころ
Node-REDのロードマップや見どころNode-REDのロードマップや見どころ
Node-REDのロードマップや見どころ
 
Intel graphics
Intel graphicsIntel graphics
Intel graphics
 
JIT のコードを読んでみた
JIT のコードを読んでみたJIT のコードを読んでみた
JIT のコードを読んでみた
 
20190920 hannaripython20
20190920 hannaripython2020190920 hannaripython20
20190920 hannaripython20
 
スタート低レイヤー #0
スタート低レイヤー #0スタート低レイヤー #0
スタート低レイヤー #0
 
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
Squarantine 〜Kuroboxを使ったUSBメモリセキュリティソリューション〜
 
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
Getting Started GraalVM / GraalVM超入門 #jjug_ccc #ccc_c2
 
Getting Started GraalVM (再アップロード)
Getting Started GraalVM (再アップロード)Getting Started GraalVM (再アップロード)
Getting Started GraalVM (再アップロード)
 
Gruntでjava script前作業の自動化!
Gruntでjava script前作業の自動化!Gruntでjava script前作業の自動化!
Gruntでjava script前作業の自動化!
 
Infrastructure as CodeでReNom環境構築入門
Infrastructure as CodeでReNom環境構築入門Infrastructure as CodeでReNom環境構築入門
Infrastructure as CodeでReNom環境構築入門
 
今流行りのウェブアプリ開発環境Yeoman
今流行りのウェブアプリ開発環境Yeoman今流行りのウェブアプリ開発環境Yeoman
今流行りのウェブアプリ開発環境Yeoman
 
20130819 jjugnslt
20130819 jjugnslt20130819 jjugnslt
20130819 jjugnslt
 
Rocroにおけるgcp活用事例
Rocroにおけるgcp活用事例Rocroにおけるgcp活用事例
Rocroにおけるgcp活用事例
 
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
GitLabを骨までしゃぶりつくす@ゆるUniStudy#7
 
Dodai projectの紹介
Dodai projectの紹介Dodai projectの紹介
Dodai projectの紹介
 
Riscv+fpga200606
Riscv+fpga200606Riscv+fpga200606
Riscv+fpga200606
 
Node予備校 vol.1 名古屋
Node予備校 vol.1 名古屋Node予備校 vol.1 名古屋
Node予備校 vol.1 名古屋
 

More from Takefumi MIYOSHI

ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyo
Takefumi MIYOSHI
 
DAS_202109
DAS_202109DAS_202109
DAS_202109
Takefumi MIYOSHI
 
ACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組みACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組み
Takefumi MIYOSHI
 
RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29
Takefumi MIYOSHI
 
Misc for edge_devices_with_fpga
Misc for edge_devices_with_fpgaMisc for edge_devices_with_fpga
Misc for edge_devices_with_fpga
Takefumi MIYOSHI
 
Cq off 20190718
Cq off 20190718Cq off 20190718
Cq off 20190718
Takefumi MIYOSHI
 
Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511
Takefumi MIYOSHI
 
Reconf 201901
Reconf 201901Reconf 201901
Reconf 201901
Takefumi MIYOSHI
 
Hls friends 201803.key
Hls friends 201803.keyHls friends 201803.key
Hls friends 201803.key
Takefumi MIYOSHI
 
Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)
Takefumi MIYOSHI
 
Hls friends 20161122.key
Hls friends 20161122.keyHls friends 20161122.key
Hls friends 20161122.key
Takefumi MIYOSHI
 
Slide
SlideSlide
Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512
Takefumi MIYOSHI
 
Das 2015
Das 2015Das 2015
Microblaze loader
Microblaze loaderMicroblaze loader
Microblaze loader
Takefumi MIYOSHI
 
Reconf 201506
Reconf 201506Reconf 201506
Reconf 201506
Takefumi MIYOSHI
 
Synthesijer fpgax 20150201
Synthesijer fpgax 20150201Synthesijer fpgax 20150201
Synthesijer fpgax 20150201
Takefumi MIYOSHI
 
ICD/CPSY 201412
ICD/CPSY 201412ICD/CPSY 201412
ICD/CPSY 201412
Takefumi MIYOSHI
 
Reconf_201409
Reconf_201409Reconf_201409
Reconf_201409
Takefumi MIYOSHI
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたTakefumi MIYOSHI
 

More from Takefumi MIYOSHI (20)

ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyo
 
DAS_202109
DAS_202109DAS_202109
DAS_202109
 
ACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組みACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組み
 
RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29
 
Misc for edge_devices_with_fpga
Misc for edge_devices_with_fpgaMisc for edge_devices_with_fpga
Misc for edge_devices_with_fpga
 
Cq off 20190718
Cq off 20190718Cq off 20190718
Cq off 20190718
 
Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511
 
Reconf 201901
Reconf 201901Reconf 201901
Reconf 201901
 
Hls friends 201803.key
Hls friends 201803.keyHls friends 201803.key
Hls friends 201803.key
 
Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)
 
Hls friends 20161122.key
Hls friends 20161122.keyHls friends 20161122.key
Hls friends 20161122.key
 
Slide
SlideSlide
Slide
 
Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512
 
Das 2015
Das 2015Das 2015
Das 2015
 
Microblaze loader
Microblaze loaderMicroblaze loader
Microblaze loader
 
Reconf 201506
Reconf 201506Reconf 201506
Reconf 201506
 
Synthesijer fpgax 20150201
Synthesijer fpgax 20150201Synthesijer fpgax 20150201
Synthesijer fpgax 20150201
 
ICD/CPSY 201412
ICD/CPSY 201412ICD/CPSY 201412
ICD/CPSY 201412
 
Reconf_201409
Reconf_201409Reconf_201409
Reconf_201409
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみた
 

Synthesijer zynq qs_20150316