SlideShare a Scribd company logo
1 of 49
SDSoC 開発時の
Vivado の使い方
有限会社シンビー
SDSoC でコンパイルする
SDDebug/_sds/p0/ipi/
というディレクトリができる。
SDSoC では詳細を見ること
が出来ない。
Explorer で見てみる
Vivado の プロジェクトファイル
がある。
適切な Vivado のバージョンで
起動
Vivado で見てみる
Synthesis/Implementation は終了して
いるはず
Complete:上の段がSynthesis
:下の段がImplementattion
Elapsed が実行時間:Synthesis 2.25 min
:Implementattion は 9.25 min
タイミングがミートしていないと
この辺でエラー(赤文字) になる
Block Diagram(回路図)を見る
ここをクリック
Block Diagram 出現
このボタンで各ブロックを自動整形
整形された、、、はず
SDSoC が自動
生成したI/F部
のIPコア
SDSoC がVivado HLS
を利用して高位合成
したIPコア
SDSoC が差し込んだ
Data Mover
PDF に落とすこともできる
マウス右クリックで
メニューが出現
詳しく見てみる
FIFO が見える
外部メモリへ
のI/F
関数のI/F
関数のコント
ロール用I/F
Zynq の何につながっているか?
ACP のための
AXI Interconnect というスイッ
チングバスのIPコア
Zynq を拡大
ACP (AXI)
GP0 (AXI)
DDR
Zynq と PL の接続
UG585 から抜粋
HP(AXI)
DDR
ACP(AXI)GP(AXI)
Zynq の AXI の種類
• ACP – L2 キャッシュを使った高速アクセス
行列計算などに利用
• HP – 64 bit 対応の高速バス
画像データのやり取りなどに利用
• GP – 汎用
汎用レジスタインタフェースなどに利用
ビット幅 数
ACP 64 1 AXI3.0(?) 64 ビットキ ャ ッシュコ ヒ ーレントマス ターポー ト
HP 32 /64 4 AXI3.0 高性能/広帯域マスター(High Performance)ポー ト
GP 32 4(Mx2, Sx2) AXI3.0 汎用(General)ポート
大半のケースでは HP を使えばいいはず。
SDSoC はなぜか行列計算にスポットを当てているので、ACP がデフォルト
IPコアをダブルクリックでさらに詳細な
設定を見ることが出来る
ダブルクリック
IPコアの設定
クリックで OARG
のタブに切り替え
FIFO の深さが見れた
440!!
AP_CTRL も見る
+マークをク
リック
I/F でまとめられていた信号線を見る
ことが出来る
• ap_start – 開始
• ap_done – 終了
• ap_idle – アイドル
• ap_ready – 準備
この辺を ChipScope でみればいいに
違いない。
もう一度 + をクリックして閉じておく
Mark Debug してみる
信号線を左クリックで選択した後、
右クリックでメニューを出す
そして、Mark Debug を選択
これにより信号線の属性がデバッグ用に
記録される。
デバッグマークの確認
それっぽいデバッグ
マークがつく
Mark Debug の意味と注意点
• 合成後に信号線のキャプチャーが出来る
• 注意点
– 合成には時間がかかる
– コツをつかまないと実装で失敗する
– 合成に成功していてもうまくキャプチャ出来ない
時もある
どの信号を見るか?
• AP_CTRL
• ACP の信号線
– AXI バスはセンシティブなので工夫が必要。うまくいく
と取れることもある。
• FIFO や BRAM のポート
– どうやらセンシティブらしい。失敗を繰り返している。
実績ゼロ。
• DDR の信号線
– あぶないからやっていない。
– 取れても、CPU も使うので雑音が多すぎるだろう
合成~デバッグまでの流れ
• Debug Mark をつける
• 合成(Synthesis)をする
• Setup Debug で ila を xdc に追加する
• 実装(Implementation)をする
• Open Hardware Manager で実機と接続
• ビットファイルのダウンロード
• Vivado の GUI を使った信号線のデバッグ
どの信号を見るか?その2
今回は折角なので
ACP もデバッグマーク
を付ける
実装の前に
Hierachy のタブを選択。
constrs_1 に制約のための
xdc ファイルがないことを確認
合成
Run Synthesis をクリック
合成終了 → 実装に行かずに Design
をみる
Set Up Debug を選択
Set Up Debugをクリック
ACP の信号線を Data にする
この作業は必須ではありません。Data にすれば、 AXI のバスを
観測するだけになり、なるべく乱さないようになるということを期待しています。
ILA Core Options はサンプル数を
4096 に
ここを4096にする。
あとはデフォルトのまま。
Capture Control は特定の条件の時だけ Capture することが出来る機能。
ここをチェックしなくても Trigger 契機に Full になるまで Capture する通常
の機能は使える。
Advanced Trigger をチェックすると Trigger 条件に複雑な構文を使えるよ
うになる。
この作業(Setup Debug)+実装の Save
により xdc が生成される
xdc が追加されている
Unassigned Debug Nets が空になっている
ACP は Data に、AP_CTRL は
Data and Triggerに設定されてい
る
実装
Run Implementation をクリック
Open Implemented Design で確認
Generate Bitstream で先に進めて
もよい
Desgin Run の結果
21分もかかっている。
Design Timing Summary
Generate Bitstream
Generate Bitstream をクリック
BitStream が出来たら SDSoC に戻る
Launch on Hardware
(SDSoC Debgger) を選択
ビットストリームがダウンロードされ
デバッグ パースペクティブで main で
止まる
Vivado に戻って HW に接続
Program Device を選択
いままでうまくいっていれば Debug
probes file にファイル名が埋めってい
る
Program を選択
デバッグ画面になる
トリガーを掛けCompare Usage を 1に
1を選択。
これにより AP が関数のスタート時
にキャプチャを始められる。
キャプチャーの準備開始
トリガーをきっかけにキャプチャー
する。
Waiting for Trigger の状態になる
SDSoC に戻りアプリケーションの実行
Resumeで止まっている
アプリケーションを再開
信号線をキャプチャ
キャプチャが停止し、
Idle 状態になる
結果として信号線の
動きをキャプチャ
おしまい

More Related Content

What's hot

Dexcs2021 of install2
Dexcs2021 of install2Dexcs2021 of install2
Dexcs2021 of install2Etsuji Nomura
 
Andoird版OpenCVのJavaサンプルを動かす
Andoird版OpenCVのJavaサンプルを動かすAndoird版OpenCVのJavaサンプルを動かす
Andoird版OpenCVのJavaサンプルを動かすshobomaru
 
Dexcs2018 of install
Dexcs2018 of installDexcs2018 of install
Dexcs2018 of installEtsuji Nomura
 
2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについてMasahito Zembutsu
 
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAMmmer547
 
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したい
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したいWindows8でOpenCVを使ったAndroid(MOVERIO)開発体験したい
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したいYukio Saito
 
GR-CITRUS リファレンス
GR-CITRUS リファレンスGR-CITRUS リファレンス
GR-CITRUS リファレンス三七男 山本
 
Introduce build in shrinker
Introduce build in shrinkerIntroduce build in shrinker
Introduce build in shrinkerDaisuke Fuji
 
Nordic nRF51822でBLEしてみました
Nordic nRF51822でBLEしてみましたNordic nRF51822でBLEしてみました
Nordic nRF51822でBLEしてみましたHirokuma Ueno
 
Dexcs2019 of install
Dexcs2019 of installDexcs2019 of install
Dexcs2019 of installEtsuji Nomura
 
BVMCN5102-BK Kit+開発環境構築まとめ‬
BVMCN5102-BK Kit+開発環境構築まとめ‬BVMCN5102-BK Kit+開発環境構築まとめ‬
BVMCN5102-BK Kit+開発環境構築まとめ‬gomihgy
 
Cell/B.E. プログラミング事始め
Cell/B.E. プログラミング事始めCell/B.E. プログラミング事始め
Cell/B.E. プログラミング事始めYou&I
 
Beginner for install DOSBox-X and PC-98 emulation. 初めてのDOSBox-XインストールとPC-98エ...
Beginner for install DOSBox-X and PC-98 emulation.  初めてのDOSBox-XインストールとPC-98エ...Beginner for install DOSBox-X and PC-98 emulation.  初めてのDOSBox-XインストールとPC-98エ...
Beginner for install DOSBox-X and PC-98 emulation. 初めてのDOSBox-XインストールとPC-98エ...Netwalker lab kapper
 
Howto CAD and Meshing on DEXCS2021
Howto CAD and Meshing on DEXCS2021Howto CAD and Meshing on DEXCS2021
Howto CAD and Meshing on DEXCS2021Etsuji Nomura
 
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話Cocos2d-xとSpriteStudioを使った音ゲー開発のお話
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話Satoru Takai
 
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しようUnityTechnologiesJapan002
 
Android起動周りのノウハウ
Android起動周りのノウハウAndroid起動周りのノウハウ
Android起動周りのノウハウchancelab
 
Dexcs2020-OF install
Dexcs2020-OF installDexcs2020-OF install
Dexcs2020-OF installEtsuji Nomura
 
bc10 (Android 2.2対応) について @Gadget1 R2
bc10 (Android 2.2対応) について @Gadget1 R2 bc10 (Android 2.2対応) について @Gadget1 R2
bc10 (Android 2.2対応) について @Gadget1 R2 BeatCraft
 

What's hot (20)

Dexcs2021 of install2
Dexcs2021 of install2Dexcs2021 of install2
Dexcs2021 of install2
 
Andoird版OpenCVのJavaサンプルを動かす
Andoird版OpenCVのJavaサンプルを動かすAndoird版OpenCVのJavaサンプルを動かす
Andoird版OpenCVのJavaサンプルを動かす
 
Dexcs2018 of install
Dexcs2018 of installDexcs2018 of install
Dexcs2018 of install
 
2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて2014年を振り返る 今年の技術トレンドとDockerについて
2014年を振り返る 今年の技術トレンドとDockerについて
 
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM
2019年 これからの人向け 超速習!流体解析ツールボックスOpenFOAM
 
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したい
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したいWindows8でOpenCVを使ったAndroid(MOVERIO)開発体験したい
Windows8でOpenCVを使ったAndroid(MOVERIO)開発体験したい
 
GR-CITRUS リファレンス
GR-CITRUS リファレンスGR-CITRUS リファレンス
GR-CITRUS リファレンス
 
Introduce build in shrinker
Introduce build in shrinkerIntroduce build in shrinker
Introduce build in shrinker
 
Nordic nRF51822でBLEしてみました
Nordic nRF51822でBLEしてみましたNordic nRF51822でBLEしてみました
Nordic nRF51822でBLEしてみました
 
Dexcs2019 of install
Dexcs2019 of installDexcs2019 of install
Dexcs2019 of install
 
BVMCN5102-BK Kit+開発環境構築まとめ‬
BVMCN5102-BK Kit+開発環境構築まとめ‬BVMCN5102-BK Kit+開発環境構築まとめ‬
BVMCN5102-BK Kit+開発環境構築まとめ‬
 
Cell/B.E. プログラミング事始め
Cell/B.E. プログラミング事始めCell/B.E. プログラミング事始め
Cell/B.E. プログラミング事始め
 
Beginner for install DOSBox-X and PC-98 emulation. 初めてのDOSBox-XインストールとPC-98エ...
Beginner for install DOSBox-X and PC-98 emulation.  初めてのDOSBox-XインストールとPC-98エ...Beginner for install DOSBox-X and PC-98 emulation.  初めてのDOSBox-XインストールとPC-98エ...
Beginner for install DOSBox-X and PC-98 emulation. 初めてのDOSBox-XインストールとPC-98エ...
 
Howto CAD and Meshing on DEXCS2021
Howto CAD and Meshing on DEXCS2021Howto CAD and Meshing on DEXCS2021
Howto CAD and Meshing on DEXCS2021
 
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話Cocos2d-xとSpriteStudioを使った音ゲー開発のお話
Cocos2d-xとSpriteStudioを使った音ゲー開発のお話
 
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう
【Unite Tokyo 2019】Unity Test Runnerを活用して内部品質を向上しよう
 
Android起動周りのノウハウ
Android起動周りのノウハウAndroid起動周りのノウハウ
Android起動周りのノウハウ
 
123 Dexcs2021
123 Dexcs2021123 Dexcs2021
123 Dexcs2021
 
Dexcs2020-OF install
Dexcs2020-OF installDexcs2020-OF install
Dexcs2020-OF install
 
bc10 (Android 2.2対応) について @Gadget1 R2
bc10 (Android 2.2対応) について @Gadget1 R2 bc10 (Android 2.2対応) について @Gadget1 R2
bc10 (Android 2.2対応) について @Gadget1 R2
 

Viewers also liked

SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」marsee101
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)Kei Nakazawa
 
Polyphony IO まとめ
Polyphony IO まとめPolyphony IO まとめ
Polyphony IO まとめryos36
 
Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Hiroki Nakahara
 
2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)Hiroki Nakahara
 
数値計算のための Python + FPGA
数値計算のための Python + FPGA数値計算のための Python + FPGA
数値計算のための Python + FPGAryos36
 
高速化のポイント
高速化のポイント高速化のポイント
高速化のポイントryos36
 
Analog Devices の IP コアを使う
Analog Devices の IP コアを使うAnalog Devices の IP コアを使う
Analog Devices の IP コアを使うryos36
 
20周遅れ
20周遅れ20周遅れ
20周遅れryos36
 
Vivado hls勉強会5(axi4 stream)
Vivado hls勉強会5(axi4 stream)Vivado hls勉強会5(axi4 stream)
Vivado hls勉強会5(axi4 stream)marsee101
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方Mr. Vengineer
 
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみたHiroki Nakahara
 
Synthesijer jjug 201504_01
Synthesijer jjug 201504_01Synthesijer jjug 201504_01
Synthesijer jjug 201504_01Takefumi MIYOSHI
 
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)marsee101
 
PYNQで○○してみた!
PYNQで○○してみた!PYNQで○○してみた!
PYNQで○○してみた!aster_ism
 
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Shinya Takamaeda-Y
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出marsee101
 
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみよう
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみようPythonとPyCoRAMでお手軽にFPGAシステムを開発してみよう
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみようShinya Takamaeda-Y
 

Viewers also liked (20)

SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
 
Polyphony IO まとめ
Polyphony IO まとめPolyphony IO まとめ
Polyphony IO まとめ
 
Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)
 
2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)2値化CNN on FPGAでGPUとガチンコバトル(公開版)
2値化CNN on FPGAでGPUとガチンコバトル(公開版)
 
数値計算のための Python + FPGA
数値計算のための Python + FPGA数値計算のための Python + FPGA
数値計算のための Python + FPGA
 
高速化のポイント
高速化のポイント高速化のポイント
高速化のポイント
 
Analog Devices の IP コアを使う
Analog Devices の IP コアを使うAnalog Devices の IP コアを使う
Analog Devices の IP コアを使う
 
20周遅れ
20周遅れ20周遅れ
20周遅れ
 
Vivado hls勉強会5(axi4 stream)
Vivado hls勉強会5(axi4 stream)Vivado hls勉強会5(axi4 stream)
Vivado hls勉強会5(axi4 stream)
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方
 
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
電波望遠鏡用の分光器をAltera SDK for OpenCL使ってサクッと作ってみた
 
Synthesijer jjug 201504_01
Synthesijer jjug 201504_01Synthesijer jjug 201504_01
Synthesijer jjug 201504_01
 
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)
 
PYNQで○○してみた!
PYNQで○○してみた!PYNQで○○してみた!
PYNQで○○してみた!
 
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
Debian Linux on Zynq (Xilinx ARM-SoC FPGA) Setup Flow (Vivado 2015.4)
 
PYNQ祭り
PYNQ祭りPYNQ祭り
PYNQ祭り
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出
 
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみよう
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみようPythonとPyCoRAMでお手軽にFPGAシステムを開発してみよう
PythonとPyCoRAMでお手軽にFPGAシステムを開発してみよう
 
Pynq祭り資料
Pynq祭り資料Pynq祭り資料
Pynq祭り資料
 

Similar to SDSoC と Vivado

Demo of write slides and books with VSCode + Markdown
Demo of write slides and books with VSCode + MarkdownDemo of write slides and books with VSCode + Markdown
Demo of write slides and books with VSCode + Markdownロフト くん
 
Write slides and books in VSCode + Markdown
Write slides and books in VSCode + MarkdownWrite slides and books in VSCode + Markdown
Write slides and books in VSCode + Markdownロフト くん
 
マイコン入門(2)
マイコン入門(2)マイコン入門(2)
マイコン入門(2)拓海 岡本
 
WordCampOsaka2012 開発ツール談義「NetBeans」
WordCampOsaka2012 開発ツール談義「NetBeans」WordCampOsaka2012 開発ツール談義「NetBeans」
WordCampOsaka2012 開発ツール談義「NetBeans」Atsuhiro Takiguchi
 
Visual Studio を使わず .NET する
Visual Studio を使わず .NET するVisual Studio を使わず .NET する
Visual Studio を使わず .NET するm ishizaki
 
Build 2022 / Uno Platform
Build 2022 / Uno PlatformBuild 2022 / Uno Platform
Build 2022 / Uno Platformm ishizaki
 
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオ
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオCisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオ
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオシスコシステムズ合同会社
 

Similar to SDSoC と Vivado (7)

Demo of write slides and books with VSCode + Markdown
Demo of write slides and books with VSCode + MarkdownDemo of write slides and books with VSCode + Markdown
Demo of write slides and books with VSCode + Markdown
 
Write slides and books in VSCode + Markdown
Write slides and books in VSCode + MarkdownWrite slides and books in VSCode + Markdown
Write slides and books in VSCode + Markdown
 
マイコン入門(2)
マイコン入門(2)マイコン入門(2)
マイコン入門(2)
 
WordCampOsaka2012 開発ツール談義「NetBeans」
WordCampOsaka2012 開発ツール談義「NetBeans」WordCampOsaka2012 開発ツール談義「NetBeans」
WordCampOsaka2012 開発ツール談義「NetBeans」
 
Visual Studio を使わず .NET する
Visual Studio を使わず .NET するVisual Studio を使わず .NET する
Visual Studio を使わず .NET する
 
Build 2022 / Uno Platform
Build 2022 / Uno PlatformBuild 2022 / Uno Platform
Build 2022 / Uno Platform
 
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオ
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオCisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオ
Cisco Connect Japan 2014:シスコのビデオ コミュニケーション環境を体験しよう! ハンズオンシナリオ
 

More from ryos36

Pycairo を使ってみる その1
Pycairo を使ってみる その1Pycairo を使ってみる その1
Pycairo を使ってみる その1ryos36
 
ストーリーとは
ストーリーとはストーリーとは
ストーリーとはryos36
 
CNN でテニス選手の動きを解析する
CNN でテニス選手の動きを解析するCNN でテニス選手の動きを解析する
CNN でテニス選手の動きを解析するryos36
 
Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)ryos36
 
Polyphony の並列化
Polyphony の並列化Polyphony の並列化
Polyphony の並列化ryos36
 
Polyphony 新機能ツアー
Polyphony 新機能ツアーPolyphony 新機能ツアー
Polyphony 新機能ツアーryos36
 
Polyphony: Python ではじめる FPGA
Polyphony: Python ではじめる FPGAPolyphony: Python ではじめる FPGA
Polyphony: Python ではじめる FPGAryos36
 
Stellaris を使った組み込みアプリ開発ガイド
Stellaris を使った組み込みアプリ開発ガイドStellaris を使った組み込みアプリ開発ガイド
Stellaris を使った組み込みアプリ開発ガイドryos36
 
研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門ryos36
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングryos36
 
90分 Scheme to C(勝手に抄訳版)
90分 Scheme to C(勝手に抄訳版)90分 Scheme to C(勝手に抄訳版)
90分 Scheme to C(勝手に抄訳版)ryos36
 
並列計算への道 2015年版
並列計算への道 2015年版並列計算への道 2015年版
並列計算への道 2015年版ryos36
 
NiosII と RTOS について
NiosII と RTOS についてNiosII と RTOS について
NiosII と RTOS についてryos36
 
Synthesijer で作るFORTH仮想マシン
Synthesijer で作るFORTH仮想マシンSynthesijer で作るFORTH仮想マシン
Synthesijer で作るFORTH仮想マシンryos36
 

More from ryos36 (14)

Pycairo を使ってみる その1
Pycairo を使ってみる その1Pycairo を使ってみる その1
Pycairo を使ってみる その1
 
ストーリーとは
ストーリーとはストーリーとは
ストーリーとは
 
CNN でテニス選手の動きを解析する
CNN でテニス選手の動きを解析するCNN でテニス選手の動きを解析する
CNN でテニス選手の動きを解析する
 
Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)Polyphony の行く末(2018/3/3)
Polyphony の行く末(2018/3/3)
 
Polyphony の並列化
Polyphony の並列化Polyphony の並列化
Polyphony の並列化
 
Polyphony 新機能ツアー
Polyphony 新機能ツアーPolyphony 新機能ツアー
Polyphony 新機能ツアー
 
Polyphony: Python ではじめる FPGA
Polyphony: Python ではじめる FPGAPolyphony: Python ではじめる FPGA
Polyphony: Python ではじめる FPGA
 
Stellaris を使った組み込みアプリ開発ガイド
Stellaris を使った組み込みアプリ開発ガイドStellaris を使った組み込みアプリ開発ガイド
Stellaris を使った組み込みアプリ開発ガイド
 
研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミング
 
90分 Scheme to C(勝手に抄訳版)
90分 Scheme to C(勝手に抄訳版)90分 Scheme to C(勝手に抄訳版)
90分 Scheme to C(勝手に抄訳版)
 
並列計算への道 2015年版
並列計算への道 2015年版並列計算への道 2015年版
並列計算への道 2015年版
 
NiosII と RTOS について
NiosII と RTOS についてNiosII と RTOS について
NiosII と RTOS について
 
Synthesijer で作るFORTH仮想マシン
Synthesijer で作るFORTH仮想マシンSynthesijer で作るFORTH仮想マシン
Synthesijer で作るFORTH仮想マシン
 

SDSoC と Vivado