SlideShare a Scribd company logo
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP)
Volume 7, Issue 3, Ver. I (May. - June. 2017), PP 35-41
e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197
www.iosrjournals.org
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 35 | Page
Simultaneous Data Path and Clock Path Engineering Change
Order for Efficient Timing Closure in Complex SOC
L. Shanthala 1
, Dr. R. Jayagowri2
1
PG Scholar, Department of Electronics and Communication Engineering, BMS College of Engineering,
Bangalore,
2
Associate Professor, Department of Electronics and Communication Engineering, BMS College of
Engineering, Bangalore,
Abstract: With ever increasing IC complexity and aggressive technology scaling towards cutting edge
technologies, the SOC timing closure is becoming a tedious, time consuming and challenging task. Also in
advanced technology nodes one has to consider the effect of PVT variation, temperature inversion, noise effect
on delay, which is adding more scenarios for STA to cover. In this work, we have proposed an algorithm for
simultaneous usage of data path ECO and clock path ECO for efficient timing closure in SOC. The algorithm
here tries to fix multiple failing end points through simple clock path optimization, instead of performing data
path optimization across multiple paths, thus reducing area and power overhead. The proposed algorithm is
tested on multiple industrial designs and found to achieve 30.98% improvement interms of Worst Negative
Slack, 63.63% interms of Total Negative Slack, 58.19% interms of Failing End Points. Also the algorithm is
physically aware meaning that the placement blockages, congestions are considered while inserting buffers. The
algorithm works under Distributed Multi Scenarios Analysis (DMSA) environment and considers the effect of
ECO across multiple corners and modes.
Keyword: Engineering Change Order ECO, Clock rescheduling / Clock push pull, physically aware,
Distributed Multi Scenario Analysis
I. Introduction
Engineering Change Order is a technique how the industries incorporate last minute design changes. If
there is a bug in RTL or suppose design is not meeting timing, it is possible to incorporate those changes
through ECO, without the need of resynthesize and entire physical design flow. Thus ECO plays a major role in
SOC design cycle time reduction.
Majority of the ECO techniques are freeze silicon based and focuses on the usage of available spare
cells in the design to accomplish the required functional changes/ incorporating timing fix. Many literatures are
already available for ECO using spare cells. In [1], author speaks about efficiently using the available spare cells
in the design by technology remapping based on the wiring cost. In [2], a unified approach for functional ECO
considering the timing constraints is discussed. In [3], author discussed about hold violation removal problem
for today’s industrial designs by linear programming based methodology to model the setup and hold-time
constraints. Then based on the solution to the linear programming, buffers are inserted as delay elements to
solve hold violations. In [4], the concept of skew scheduling by adjusting skew to Flip Flop to improve the
performance is discussed. Compared to freeze silicon based ECO, pre silicon based ECO are also very important
and plays a significant role in SOC timing closure. Once the design is placed and routed, if there is a bug in the
design which is causing the functional failure or timing violation, resynthesizing the design and carrying out
placement and routing again is not a feasible as it is time consuming. So there is an immense need of efficient
ECO technique to handle these last minute changes. Most of the timing ECO techniques which are already
proposed concentrates on the data path optimization for fixing setup/ hold. These data path optimization are
done either by cell sizing (increasing the drive strength) or inserting buffers. In some cases, routing with higher
metal layer, changing cell to low Vt cell also accomplishes the purpose. But one can think of touching the clock
path and experiment on Clock Tree Synthesis CTS. Clock path rescheduling means performing clock push/ pull
by inserting or removing clock buffers. The idea is to utilize the positive slacks at the fanout cone of the clock
tree element as a safe margin and then fix the negative slacks on other paths incrementally through clock tree
restructuring/ resizing. [5]
Major challenges with the proposed method:
1. Finding a common point on the clock tree where the ECO patch can be placed such that it will help in
fixing multiple failing end points.
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 36 | Page
2. Checking the fanout cone of the clock tree restructuring / resizing element, so that restructuring doesn’t
result in negative slack across the paths which were not failing before. i.e Fixing negative margin for some
path shouldn’t create new paths with negative slack.
3. Fix should be MCMM aware (Multi Corner Multi Mode). Before inserting/removing any buffers, we should
check its impact on setup/ hold across multiple corner including max, min, typical and across multiple
modes including scan, functional.
4. If clock rescheduling is not possible or not feasible, then performing regular data path optimization by gate
sizing and buffer insertion.
Fig.I Flow chart of the proposed algorithm
The rest of paper is organized as follows. Section II explains the Clock path ECO approach. Section III explains
the Data path ECO approach. Finally Section IV discusses results obtained and conclusion.
II. Approach & Algorithm For Clock Path Eco
The algorithm here tries to find out a point (cell) in the clock tree, which is common to multiple failing
end points in the design. Inserting an ECO patch, by clock rescheduling at this common point helps in fixing the
timing violation across multiple failing end points, instead of performing data path ECO on all paths.
(a)
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 37 | Page
(b)
(c)
Fig.IIa. All FlipFlop in row2 are violating setup b. Datapath ECO by gate upsizing c. Clock path ECO by clock
push
The figure 2 shows the main advantage of clock path ECO. Suppose there are multiple paths which are
violating setup as shown in row 2. Performing data path ECO will tries to upsize the cells on all the failing paths
as shown in Fig 2b, which will unnecessarily results in area and power overhead. In such case, finding a
common point in clock tree which is driving all these failing end points and inserting few buffers on clock path
will fix the setup violation across all these failing paths as shown in Fig 2c.If there is no such common point in
clock tree, then the algorithm performs usual data path fixing by gate sizing or insert buffer.
There are some prerequisites before performing clock ECO:
1. CTS done and is stable with balanced skew.
2. Clock transition violations should be fixed prior to clock rescheduling. Because clock transition creates
unnecessary skew and results in inaccurate delay calculations.
The clock ECO algorithm takes a set of Failing End Points as input. The clock pins of each FEP is
backtraced and all points in clock path are stored in separate lists. Each list is sorted and first common clock
buffer amongst all lists is greped. Then the slack checking is done across multiple corners and multiple modes
before pushing / pulling clock. The slack checking is done to ensure the positive safe margin at the fanout cone
of clock tree restructuring element and exploit this safe margin (useful skew) to fix negative slack on violating
path. If anyone path at the fanout cone has negative margin, then it is not preferred to do clock push/ pull.
Regular data path ECO is preferred in such case. Figure 3 and 4 shows how the slack checking is done before
clock push / pull.
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 38 | Page
Fig.III slack checking before clock push (inserting clock buffer)
Fig.IV slack checking before clock pull (removing clock buffer)
III. Approach & Algorithm For Data Path Eco
Based on the drive strengths either VT swapping is chosen or upsizing (upsize to the maximum size
available except for don’t touch and don’t use cell list). The alternative cell with the best input slew is chosen
for replacement. Its slew value is compared against the path slack value. If it’s lesser than that, the driver cell is
successfully replaced with this alternative cell and the value equivalent to path slack value is updated for the
next iteration. Iteration continues till either the slack of the path significantly improves or the list gets exhausted.
In such a case, the next path of a group is taken into consideration. During ECO flow we can also specify the
margin for setup/ hold fix. This is called margin based setup / hold fixing. For example, if majority of the paths
are violating hold by margin of0 to -500ps, we can fix negative hold paths in the range 0 to -500ps, while
ignoring those with negative margin worse than -500ps.
Fig.V margin based setup/ hold fixing
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 39 | Page
For making the ECO physically aware, DEF file (Design Exchange Format) is taken as input. The DEF file
contains information about,
 Physical aspects of a design: Die size, Connectivity, Physical location of cells and macros on the chip.
 Floorplan information: Standard cell rows, Placement and routing blockage, Placement constraints, Power
domain boundaries.
 Routing information: Metal layer used Routing congestion.
Even with the routed design, we can perform ECO by adding buffers on route. Thus exact location where the
buffer needs to be added is specified in the ECO script. After sourcing the ECO script legalization of placement
must be done. To verify the correct operation of design under different operating conditions (extreme
temperature & operating voltages) and different operating modes (functional & scan), we require several parallel
timing runs. But by using DMSA (Distributed Multi Scenario Analysis), a utility of synopsys primetime tool,
instead of analyzing each scenarios in sequence, we can analyze several scenarios in parallel. Different scenarios
are remotely executed in different hosts running in parallel thus reducing the overall turnaround time / run time,
resources and effort. Also the effect of on chip variation can be analyzed in DMSA environment by providing
timing derate values. Final algorithm is a wrapper written on top of clock path ECO and data path ECO
algorithms. It first calls the clock path ECO procedure. If all paths are not fixed by clock rescheduling, then data
path ECO procedure is called.
IV. Results And Conclusion
The presented algorithm is implemented as a tcl script (Tool Command Language) in a UNIX machine
with i5 core, 4GB RAM, 2.5 GHz CPU. Several industrial designs are taken for experimental purpose. Synopsys
Primetime tool version k-2015 is used as a main tool for performing timing analysis. Table 1 shows the
comparison of Worst Negative Slack WNS, Total Negative Slack TNS, Failing End Points FEP and number of
size cell commands between data path ECO using proposed algorithm and ECO using existing Primetime ECO
utility (fix_eco_timing). The Figure 6 shows the respective fix rate interms of WNS, TNS, and FEP. The
proposed algorithm results matches pretty good as compared with the existing primetime utility.
Before ECO After Primetime_ECO Data PathECO using proposed Algorithm
WNS
(-)
TNS (-) FEP WNS (-
)
TNS (-) FEP size_cell
commands
WNS
(-)
TNS (-) FEP size_cell
commands
Design A 170 17087 457 170 2660 32 7202 144 3848 102 14880
Design B 354 22839 93 354 22541 86 36 354 22802 93 84
Design C 2111 75945 183 2111 73681 170 143 2108 75477 180 583
Design D 17329 254267 2766 1780 17889 190 10332 1810 21189 240 16883
Design E 235 5716 58 235 574 4 346 235 589 10 559
Design F 610 46623 1970 500 2123 23 708 502 19553 90 2421
Design G 282 24100 849 289 22615 749 3175 282 18006 608 4008
Design H 114 1129 57 14 48 2 2733 124 764 15 2943
Design I 15708 985856 1185 2225 112015 586 4534 10533 334731 980 7333
Design J 4058 45449 208 4058 35807 171 935 4058 35078 180 724
Table. I WNS, TNS and FEP comparison between PT_ECO and DataPath ECO using proposed algorithm
Fig.VI a. Fix rate using PT_ECO
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 40 | Page
Fig.VI b. Fix rate using proposed algorithm for DataPath ECO
Two sets of experiments are done on the same designs. One by performing ECO only using data path
fixing. Other by performing clock ECO followed by data path ECO. The corresponding results are shown in
table 2 and the fix rate is shown in Figure 7. The Figure 8 shows the size of ECO patch before and after using
clock rescheduling. It is clearly observed that using clock path ECO significantly reduce the size of ECO patch
i.e less number of resize and insert buffer commands. Thus the overall amount of area increased after ECO is
59.42 % less in clock + data ECO as compared to data ECO alone. This highlights the advantage of clock path
rescheduling.
Fig.VII Fix rate using proposed algorithm for Clock + DataPath ECO
DataPath ECO using proposed Algorithm ClockPath + DataPath ECO using proposed algorithm
WNS (-) TNS (-) FEP ECO patch size
(size cell)
WNS (-) TNS (-) FEP ECO patch size
(insert buffer + size cell)
Design A 144 3848 102 14880 98 2090 26 1095
Design B 354 22802 93 84 354 18509 72 24
Design C 2108 75477 180 583 1078 73670 180 408
Design D 1810 21189 240 16883 1500 14334 242 10290
Design E 235 589 10 559 235 555 8 128
Design F 502 19553 90 2421 526 3357 20 571
Design G 282 18006 608 4008 120 18102 705 2991
Design H 124 764 15 2943 124 108 11 433
Design I 10533 334731 980 7333 6344 100155 480 3040
Design J 4058 35078 180 724 3850 25455 146 446
Table. II WNS, TNS and FEP comparison between only DataPath ECO&Clock+ Data Path ECO using proposed
algorithm
Fig.VIII Reduction in ECO patch size by clock + data path ECO
Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in ..
DOI: 10.9790/4200-0703013541 www.iosrjournals.org 41 | Page
To conclude, the proposed algorithm shows a better fix rate of 30.98%interms of Worst Negative
Slack, 63.63% interms of Total Negative Slack, 58.19% interms of Failing End Points. However the main
disadvantage of clock path ECO is that, it creates skew in clock tree and results in imbalanced CTS. So prefer
clock tree ECO only if it targets fixing a bunch of failing end points. Performing clock rescheduling to fix one /
two paths is not advisable.
Acknowledgment
Our grateful thanks to Mr. Gaurav Kaushik, Miss.Ashraf Harshana, Miss.Chandrani Saha and Mr. Deepak
Gupta from Intel technologies India Pvt. Ltd. for the valuable discussions and technical assistance.
Reference
[1] Y.P. Chen, J.W. Fang, Y.W. Chang, “ECO timing optimization using spare cells,” in Proceedings of International Conference on
Computer-Aided Design, pp. 530-535, 2007.
[2] Jui-Hung Hung, Yu-Cheng Lin, Wei-Kai Cheng, Tsai-Ming Hsieh, “Unified approach for simultaneous functional and timing
ECO,” in IET Circuits Devices Syst, Vol. 10, Iss. 6, pp. 514–521, 2016.
[3] Pei-Ci Wu, Martin D. F. Wong, IvailoNedelchev, Sarvesh Bhardwaj, VidyamaniParkhe, “On Timing Closure: Buffer Insertion for
Hold-Violation Removal,” ACM 978-1-4503-2730-5/14/06, 2014.
[4] C. Lin and H. Zhou, “Clock skew scheduling with delay padding for prescribed skew domains,” in Design Automation Conference,
2007. ASP-DAC’07, Asia and South Pacific, pages 541–546, IEEE, 2007
[5] Subhendu Roy, Pavlos M. Mattheakis, Laurent Masse-Navette, and David Z. Pan, “Clock Tree Resynthesis for Multi-Corner Multi-
Mode Timing Closure,”in IEEE transactions on computer-aided design of integrated circuits and systems, vol. 34, no. 4, April 2015
[6] S. Huang and Y. Lin, “Utilizing Clock Skew For Timing Reliability Improvement,” IEEE, 2007
[7] Bhasker, Jayaram, and Rakesh Chadha “Static Timing Analysis for Nanometer Designs: A Practical Approach", Springer Science &
Business Media, April 3, 2009.
[8] Synopsys “PrimeTime User Guide", Version L-2016.06.
[9] Synopsys “PrimeTime Suite Variables and Attributes", Version K-2015.06-SP2, June 2015.

More Related Content

What's hot

saad faim paper3
saad faim paper3saad faim paper3
saad faim paper3
Saad Farooq
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
IRJET Journal
 
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
TELKOMNIKA JOURNAL
 
A02070106
A02070106A02070106
A02070106
IJERD Editor
 
The Development of Design by Topology Optimization for Additive Manufacture
The Development of Design by Topology Optimization for Additive ManufactureThe Development of Design by Topology Optimization for Additive Manufacture
The Development of Design by Topology Optimization for Additive Manufacture
Callum McLennan
 
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
IRJET Journal
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
IJERA Editor
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
VLSICS Design
 
30720130101005
3072013010100530720130101005
30720130101005
IAEME Publication
 
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
CSCJournals
 
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
Chun Hean Lee
 
Controller design for gantry crane system using modified sine cosine optimiza...
Controller design for gantry crane system using modified sine cosine optimiza...Controller design for gantry crane system using modified sine cosine optimiza...
Controller design for gantry crane system using modified sine cosine optimiza...
TELKOMNIKA JOURNAL
 
Intelligent back analysis using data from the instrument (poster)
Intelligent back analysis using data from the instrument (poster)Intelligent back analysis using data from the instrument (poster)
Intelligent back analysis using data from the instrument (poster)
Hamed Zarei
 
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
IRJET Journal
 
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
IJECEIAES
 
Security constrained optimal load dispatch using hpso technique for thermal s...
Security constrained optimal load dispatch using hpso technique for thermal s...Security constrained optimal load dispatch using hpso technique for thermal s...
Security constrained optimal load dispatch using hpso technique for thermal s...
eSAT Publishing House
 
Compromising between-eld-&-eed-using-gatool-matlab
Compromising between-eld-&-eed-using-gatool-matlabCompromising between-eld-&-eed-using-gatool-matlab
Compromising between-eld-&-eed-using-gatool-matlab
Subhankar Sau
 
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
Marwan Zogheib
 

What's hot (19)

saad faim paper3
saad faim paper3saad faim paper3
saad faim paper3
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
IRJET - Design and Optimization based on Surrogate Model of a Brushless Direc...
 
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
An improved swarm intelligence algorithms-based nonlinear fractional order-PI...
 
A02070106
A02070106A02070106
A02070106
 
The Development of Design by Topology Optimization for Additive Manufacture
The Development of Design by Topology Optimization for Additive ManufactureThe Development of Design by Topology Optimization for Additive Manufacture
The Development of Design by Topology Optimization for Additive Manufacture
 
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
IRJET- Neural Extended Kalman Filter based Angle-Only Target Tracking with Di...
 
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...Probability Measurement of Setup And Hold Time With Statistical Static Timing...
Probability Measurement of Setup And Hold Time With Statistical Static Timing...
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
30720130101005
3072013010100530720130101005
30720130101005
 
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
 
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
A stabilised Petrov-Galerkin formulation for linear tetrahedral elements in c...
 
Controller design for gantry crane system using modified sine cosine optimiza...
Controller design for gantry crane system using modified sine cosine optimiza...Controller design for gantry crane system using modified sine cosine optimiza...
Controller design for gantry crane system using modified sine cosine optimiza...
 
Intelligent back analysis using data from the instrument (poster)
Intelligent back analysis using data from the instrument (poster)Intelligent back analysis using data from the instrument (poster)
Intelligent back analysis using data from the instrument (poster)
 
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
Hybrid of Ant Colony Optimization and Gravitational Emulation Based Load Bala...
 
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...
 
Security constrained optimal load dispatch using hpso technique for thermal s...
Security constrained optimal load dispatch using hpso technique for thermal s...Security constrained optimal load dispatch using hpso technique for thermal s...
Security constrained optimal load dispatch using hpso technique for thermal s...
 
Compromising between-eld-&-eed-using-gatool-matlab
Compromising between-eld-&-eed-using-gatool-matlabCompromising between-eld-&-eed-using-gatool-matlab
Compromising between-eld-&-eed-using-gatool-matlab
 
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
2012 SAE-A Young Engineer of the Year Award - Leaf Spring Simulation Tool
 

Similar to Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in Complex SOC

Ijecet 06 10_004
Ijecet 06 10_004Ijecet 06 10_004
Ijecet 06 10_004
IAEME Publication
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
IJERA Editor
 
Controller Tuning for Integrator Plus Delay Processes.
Controller Tuning for Integrator Plus Delay Processes.Controller Tuning for Integrator Plus Delay Processes.
Controller Tuning for Integrator Plus Delay Processes.
theijes
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
IRJET Journal
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
VLSICS Design
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
IRJET Journal
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
VLSICS Design
 
ACES_Journal_February_2012_Paper_07
ACES_Journal_February_2012_Paper_07ACES_Journal_February_2012_Paper_07
ACES_Journal_February_2012_Paper_07
Mark Patrick Cheeseman
 
Improved ant colony optimization for quantum cost reduction
Improved ant colony optimization for quantum cost reductionImproved ant colony optimization for quantum cost reduction
Improved ant colony optimization for quantum cost reduction
journalBEEI
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
Sudhanshu Janwadkar
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
eSAT Publishing House
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
iosrjce
 
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGAComparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
IRJET Journal
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
IAEME Publication
 
Scheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic FilterScheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic Filter
ijait
 
Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft Technique
IJERA Editor
 
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET Journal
 
Adaptive Laser Cladding System with Variable Spot Sizes
Adaptive Laser Cladding System with Variable Spot SizesAdaptive Laser Cladding System with Variable Spot Sizes
Adaptive Laser Cladding System with Variable Spot Sizes
Jorge Rodríguez Araújo
 

Similar to Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in Complex SOC (20)

Ijecet 06 10_004
Ijecet 06 10_004Ijecet 06 10_004
Ijecet 06 10_004
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
Controller Tuning for Integrator Plus Delay Processes.
Controller Tuning for Integrator Plus Delay Processes.Controller Tuning for Integrator Plus Delay Processes.
Controller Tuning for Integrator Plus Delay Processes.
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
ACES_Journal_February_2012_Paper_07
ACES_Journal_February_2012_Paper_07ACES_Journal_February_2012_Paper_07
ACES_Journal_February_2012_Paper_07
 
Improved ant colony optimization for quantum cost reduction
Improved ant colony optimization for quantum cost reductionImproved ant colony optimization for quantum cost reduction
Improved ant colony optimization for quantum cost reduction
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGAComparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
Scheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic FilterScheduling and Allocation Algorithm for an Elliptic Filter
Scheduling and Allocation Algorithm for an Elliptic Filter
 
Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft Technique
 
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
 
Adaptive Laser Cladding System with Variable Spot Sizes
Adaptive Laser Cladding System with Variable Spot SizesAdaptive Laser Cladding System with Variable Spot Sizes
Adaptive Laser Cladding System with Variable Spot Sizes
 

More from IOSRJVSP

PCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-ReviewPCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-Review
IOSRJVSP
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
IOSRJVSP
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
IOSRJVSP
 
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
IOSRJVSP
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAM
IOSRJVSP
 
Robust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory InterfaceRobust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory Interface
IOSRJVSP
 
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
IOSRJVSP
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
IOSRJVSP
 
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
IOSRJVSP
 
P-Wave Related Disease Detection Using DWT
P-Wave Related Disease Detection Using DWTP-Wave Related Disease Detection Using DWT
P-Wave Related Disease Detection Using DWT
IOSRJVSP
 
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
IOSRJVSP
 
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
IOSRJVSP
 
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
IOSRJVSP
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IOSRJVSP
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
IOSRJVSP
 
Retinal Macular Edema Detection Using Optical Coherence Tomography Images
Retinal Macular Edema Detection Using Optical Coherence Tomography ImagesRetinal Macular Edema Detection Using Optical Coherence Tomography Images
Retinal Macular Edema Detection Using Optical Coherence Tomography Images
IOSRJVSP
 
Speech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
Speech Enhancement Using Spectral Flatness Measure Based Spectral SubtractionSpeech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
Speech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
IOSRJVSP
 
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
IOSRJVSP
 
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
IOSRJVSP
 
Real Time System Identification of Speech Signal Using Tms320c6713
Real Time System Identification of Speech Signal Using Tms320c6713Real Time System Identification of Speech Signal Using Tms320c6713
Real Time System Identification of Speech Signal Using Tms320c6713
IOSRJVSP
 

More from IOSRJVSP (20)

PCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-ReviewPCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-Review
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
 
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
Measuring the Effects of Rational 7th and 8th Order Distortion Model in the R...
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAM
 
Robust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory InterfaceRobust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory Interface
 
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
Color Particle Filter Tracking using Frame Segmentation based on JND Color an...
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
 
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
 
P-Wave Related Disease Detection Using DWT
P-Wave Related Disease Detection Using DWTP-Wave Related Disease Detection Using DWT
P-Wave Related Disease Detection Using DWT
 
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
Design and Synthesis of Multiplexer based Universal Shift Register using Reve...
 
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
Design and Implementation of a Low Noise Amplifier for Ultra Wideband Applica...
 
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
ElectroencephalographySignalClassification based on Sub-Band Common Spatial P...
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Retinal Macular Edema Detection Using Optical Coherence Tomography Images
Retinal Macular Edema Detection Using Optical Coherence Tomography ImagesRetinal Macular Edema Detection Using Optical Coherence Tomography Images
Retinal Macular Edema Detection Using Optical Coherence Tomography Images
 
Speech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
Speech Enhancement Using Spectral Flatness Measure Based Spectral SubtractionSpeech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
Speech Enhancement Using Spectral Flatness Measure Based Spectral Subtraction
 
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
Adaptive Channel Equalization using Multilayer Perceptron Neural Networks wit...
 
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
Performance Analysis of the Sigmoid and Fibonacci Activation Functions in NGA...
 
Real Time System Identification of Speech Signal Using Tms320c6713
Real Time System Identification of Speech Signal Using Tms320c6713Real Time System Identification of Speech Signal Using Tms320c6713
Real Time System Identification of Speech Signal Using Tms320c6713
 

Recently uploaded

People as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimalaPeople as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimala
riddhimaagrawal986
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
bjmsejournal
 
An improved modulation technique suitable for a three level flying capacitor ...
An improved modulation technique suitable for a three level flying capacitor ...An improved modulation technique suitable for a three level flying capacitor ...
An improved modulation technique suitable for a three level flying capacitor ...
IJECEIAES
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
UReason
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
VANDANAMOHANGOUDA
 
Data Control Language.pptx Data Control Language.pptx
Data Control Language.pptx Data Control Language.pptxData Control Language.pptx Data Control Language.pptx
Data Control Language.pptx Data Control Language.pptx
ramrag33
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
IJECEIAES
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Software Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.pptSoftware Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.ppt
TaghreedAltamimi
 
artificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptxartificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptx
GauravCar
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
abbyasa1014
 
Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...
Prakhyath Rai
 
Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...
bijceesjournal
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
ecqow
 
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
ydzowc
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
Madan Karki
 

Recently uploaded (20)

People as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimalaPeople as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimala
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
 
An improved modulation technique suitable for a three level flying capacitor ...
An improved modulation technique suitable for a three level flying capacitor ...An improved modulation technique suitable for a three level flying capacitor ...
An improved modulation technique suitable for a three level flying capacitor ...
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
 
Data Control Language.pptx Data Control Language.pptx
Data Control Language.pptx Data Control Language.pptxData Control Language.pptx Data Control Language.pptx
Data Control Language.pptx Data Control Language.pptx
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Software Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.pptSoftware Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.ppt
 
artificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptxartificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptx
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
 
Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...
 
Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
 
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
原版制作(Humboldt毕业证书)柏林大学毕业证学位证一模一样
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
 

Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in Complex SOC

  • 1. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 3, Ver. I (May. - June. 2017), PP 35-41 e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197 www.iosrjournals.org DOI: 10.9790/4200-0703013541 www.iosrjournals.org 35 | Page Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in Complex SOC L. Shanthala 1 , Dr. R. Jayagowri2 1 PG Scholar, Department of Electronics and Communication Engineering, BMS College of Engineering, Bangalore, 2 Associate Professor, Department of Electronics and Communication Engineering, BMS College of Engineering, Bangalore, Abstract: With ever increasing IC complexity and aggressive technology scaling towards cutting edge technologies, the SOC timing closure is becoming a tedious, time consuming and challenging task. Also in advanced technology nodes one has to consider the effect of PVT variation, temperature inversion, noise effect on delay, which is adding more scenarios for STA to cover. In this work, we have proposed an algorithm for simultaneous usage of data path ECO and clock path ECO for efficient timing closure in SOC. The algorithm here tries to fix multiple failing end points through simple clock path optimization, instead of performing data path optimization across multiple paths, thus reducing area and power overhead. The proposed algorithm is tested on multiple industrial designs and found to achieve 30.98% improvement interms of Worst Negative Slack, 63.63% interms of Total Negative Slack, 58.19% interms of Failing End Points. Also the algorithm is physically aware meaning that the placement blockages, congestions are considered while inserting buffers. The algorithm works under Distributed Multi Scenarios Analysis (DMSA) environment and considers the effect of ECO across multiple corners and modes. Keyword: Engineering Change Order ECO, Clock rescheduling / Clock push pull, physically aware, Distributed Multi Scenario Analysis I. Introduction Engineering Change Order is a technique how the industries incorporate last minute design changes. If there is a bug in RTL or suppose design is not meeting timing, it is possible to incorporate those changes through ECO, without the need of resynthesize and entire physical design flow. Thus ECO plays a major role in SOC design cycle time reduction. Majority of the ECO techniques are freeze silicon based and focuses on the usage of available spare cells in the design to accomplish the required functional changes/ incorporating timing fix. Many literatures are already available for ECO using spare cells. In [1], author speaks about efficiently using the available spare cells in the design by technology remapping based on the wiring cost. In [2], a unified approach for functional ECO considering the timing constraints is discussed. In [3], author discussed about hold violation removal problem for today’s industrial designs by linear programming based methodology to model the setup and hold-time constraints. Then based on the solution to the linear programming, buffers are inserted as delay elements to solve hold violations. In [4], the concept of skew scheduling by adjusting skew to Flip Flop to improve the performance is discussed. Compared to freeze silicon based ECO, pre silicon based ECO are also very important and plays a significant role in SOC timing closure. Once the design is placed and routed, if there is a bug in the design which is causing the functional failure or timing violation, resynthesizing the design and carrying out placement and routing again is not a feasible as it is time consuming. So there is an immense need of efficient ECO technique to handle these last minute changes. Most of the timing ECO techniques which are already proposed concentrates on the data path optimization for fixing setup/ hold. These data path optimization are done either by cell sizing (increasing the drive strength) or inserting buffers. In some cases, routing with higher metal layer, changing cell to low Vt cell also accomplishes the purpose. But one can think of touching the clock path and experiment on Clock Tree Synthesis CTS. Clock path rescheduling means performing clock push/ pull by inserting or removing clock buffers. The idea is to utilize the positive slacks at the fanout cone of the clock tree element as a safe margin and then fix the negative slacks on other paths incrementally through clock tree restructuring/ resizing. [5] Major challenges with the proposed method: 1. Finding a common point on the clock tree where the ECO patch can be placed such that it will help in fixing multiple failing end points.
  • 2. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 36 | Page 2. Checking the fanout cone of the clock tree restructuring / resizing element, so that restructuring doesn’t result in negative slack across the paths which were not failing before. i.e Fixing negative margin for some path shouldn’t create new paths with negative slack. 3. Fix should be MCMM aware (Multi Corner Multi Mode). Before inserting/removing any buffers, we should check its impact on setup/ hold across multiple corner including max, min, typical and across multiple modes including scan, functional. 4. If clock rescheduling is not possible or not feasible, then performing regular data path optimization by gate sizing and buffer insertion. Fig.I Flow chart of the proposed algorithm The rest of paper is organized as follows. Section II explains the Clock path ECO approach. Section III explains the Data path ECO approach. Finally Section IV discusses results obtained and conclusion. II. Approach & Algorithm For Clock Path Eco The algorithm here tries to find out a point (cell) in the clock tree, which is common to multiple failing end points in the design. Inserting an ECO patch, by clock rescheduling at this common point helps in fixing the timing violation across multiple failing end points, instead of performing data path ECO on all paths. (a)
  • 3. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 37 | Page (b) (c) Fig.IIa. All FlipFlop in row2 are violating setup b. Datapath ECO by gate upsizing c. Clock path ECO by clock push The figure 2 shows the main advantage of clock path ECO. Suppose there are multiple paths which are violating setup as shown in row 2. Performing data path ECO will tries to upsize the cells on all the failing paths as shown in Fig 2b, which will unnecessarily results in area and power overhead. In such case, finding a common point in clock tree which is driving all these failing end points and inserting few buffers on clock path will fix the setup violation across all these failing paths as shown in Fig 2c.If there is no such common point in clock tree, then the algorithm performs usual data path fixing by gate sizing or insert buffer. There are some prerequisites before performing clock ECO: 1. CTS done and is stable with balanced skew. 2. Clock transition violations should be fixed prior to clock rescheduling. Because clock transition creates unnecessary skew and results in inaccurate delay calculations. The clock ECO algorithm takes a set of Failing End Points as input. The clock pins of each FEP is backtraced and all points in clock path are stored in separate lists. Each list is sorted and first common clock buffer amongst all lists is greped. Then the slack checking is done across multiple corners and multiple modes before pushing / pulling clock. The slack checking is done to ensure the positive safe margin at the fanout cone of clock tree restructuring element and exploit this safe margin (useful skew) to fix negative slack on violating path. If anyone path at the fanout cone has negative margin, then it is not preferred to do clock push/ pull. Regular data path ECO is preferred in such case. Figure 3 and 4 shows how the slack checking is done before clock push / pull.
  • 4. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 38 | Page Fig.III slack checking before clock push (inserting clock buffer) Fig.IV slack checking before clock pull (removing clock buffer) III. Approach & Algorithm For Data Path Eco Based on the drive strengths either VT swapping is chosen or upsizing (upsize to the maximum size available except for don’t touch and don’t use cell list). The alternative cell with the best input slew is chosen for replacement. Its slew value is compared against the path slack value. If it’s lesser than that, the driver cell is successfully replaced with this alternative cell and the value equivalent to path slack value is updated for the next iteration. Iteration continues till either the slack of the path significantly improves or the list gets exhausted. In such a case, the next path of a group is taken into consideration. During ECO flow we can also specify the margin for setup/ hold fix. This is called margin based setup / hold fixing. For example, if majority of the paths are violating hold by margin of0 to -500ps, we can fix negative hold paths in the range 0 to -500ps, while ignoring those with negative margin worse than -500ps. Fig.V margin based setup/ hold fixing
  • 5. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 39 | Page For making the ECO physically aware, DEF file (Design Exchange Format) is taken as input. The DEF file contains information about,  Physical aspects of a design: Die size, Connectivity, Physical location of cells and macros on the chip.  Floorplan information: Standard cell rows, Placement and routing blockage, Placement constraints, Power domain boundaries.  Routing information: Metal layer used Routing congestion. Even with the routed design, we can perform ECO by adding buffers on route. Thus exact location where the buffer needs to be added is specified in the ECO script. After sourcing the ECO script legalization of placement must be done. To verify the correct operation of design under different operating conditions (extreme temperature & operating voltages) and different operating modes (functional & scan), we require several parallel timing runs. But by using DMSA (Distributed Multi Scenario Analysis), a utility of synopsys primetime tool, instead of analyzing each scenarios in sequence, we can analyze several scenarios in parallel. Different scenarios are remotely executed in different hosts running in parallel thus reducing the overall turnaround time / run time, resources and effort. Also the effect of on chip variation can be analyzed in DMSA environment by providing timing derate values. Final algorithm is a wrapper written on top of clock path ECO and data path ECO algorithms. It first calls the clock path ECO procedure. If all paths are not fixed by clock rescheduling, then data path ECO procedure is called. IV. Results And Conclusion The presented algorithm is implemented as a tcl script (Tool Command Language) in a UNIX machine with i5 core, 4GB RAM, 2.5 GHz CPU. Several industrial designs are taken for experimental purpose. Synopsys Primetime tool version k-2015 is used as a main tool for performing timing analysis. Table 1 shows the comparison of Worst Negative Slack WNS, Total Negative Slack TNS, Failing End Points FEP and number of size cell commands between data path ECO using proposed algorithm and ECO using existing Primetime ECO utility (fix_eco_timing). The Figure 6 shows the respective fix rate interms of WNS, TNS, and FEP. The proposed algorithm results matches pretty good as compared with the existing primetime utility. Before ECO After Primetime_ECO Data PathECO using proposed Algorithm WNS (-) TNS (-) FEP WNS (- ) TNS (-) FEP size_cell commands WNS (-) TNS (-) FEP size_cell commands Design A 170 17087 457 170 2660 32 7202 144 3848 102 14880 Design B 354 22839 93 354 22541 86 36 354 22802 93 84 Design C 2111 75945 183 2111 73681 170 143 2108 75477 180 583 Design D 17329 254267 2766 1780 17889 190 10332 1810 21189 240 16883 Design E 235 5716 58 235 574 4 346 235 589 10 559 Design F 610 46623 1970 500 2123 23 708 502 19553 90 2421 Design G 282 24100 849 289 22615 749 3175 282 18006 608 4008 Design H 114 1129 57 14 48 2 2733 124 764 15 2943 Design I 15708 985856 1185 2225 112015 586 4534 10533 334731 980 7333 Design J 4058 45449 208 4058 35807 171 935 4058 35078 180 724 Table. I WNS, TNS and FEP comparison between PT_ECO and DataPath ECO using proposed algorithm Fig.VI a. Fix rate using PT_ECO
  • 6. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 40 | Page Fig.VI b. Fix rate using proposed algorithm for DataPath ECO Two sets of experiments are done on the same designs. One by performing ECO only using data path fixing. Other by performing clock ECO followed by data path ECO. The corresponding results are shown in table 2 and the fix rate is shown in Figure 7. The Figure 8 shows the size of ECO patch before and after using clock rescheduling. It is clearly observed that using clock path ECO significantly reduce the size of ECO patch i.e less number of resize and insert buffer commands. Thus the overall amount of area increased after ECO is 59.42 % less in clock + data ECO as compared to data ECO alone. This highlights the advantage of clock path rescheduling. Fig.VII Fix rate using proposed algorithm for Clock + DataPath ECO DataPath ECO using proposed Algorithm ClockPath + DataPath ECO using proposed algorithm WNS (-) TNS (-) FEP ECO patch size (size cell) WNS (-) TNS (-) FEP ECO patch size (insert buffer + size cell) Design A 144 3848 102 14880 98 2090 26 1095 Design B 354 22802 93 84 354 18509 72 24 Design C 2108 75477 180 583 1078 73670 180 408 Design D 1810 21189 240 16883 1500 14334 242 10290 Design E 235 589 10 559 235 555 8 128 Design F 502 19553 90 2421 526 3357 20 571 Design G 282 18006 608 4008 120 18102 705 2991 Design H 124 764 15 2943 124 108 11 433 Design I 10533 334731 980 7333 6344 100155 480 3040 Design J 4058 35078 180 724 3850 25455 146 446 Table. II WNS, TNS and FEP comparison between only DataPath ECO&Clock+ Data Path ECO using proposed algorithm Fig.VIII Reduction in ECO patch size by clock + data path ECO
  • 7. Simultaneous Data Path and Clock Path Engineering Change Order for Efficient Timing Closure in .. DOI: 10.9790/4200-0703013541 www.iosrjournals.org 41 | Page To conclude, the proposed algorithm shows a better fix rate of 30.98%interms of Worst Negative Slack, 63.63% interms of Total Negative Slack, 58.19% interms of Failing End Points. However the main disadvantage of clock path ECO is that, it creates skew in clock tree and results in imbalanced CTS. So prefer clock tree ECO only if it targets fixing a bunch of failing end points. Performing clock rescheduling to fix one / two paths is not advisable. Acknowledgment Our grateful thanks to Mr. Gaurav Kaushik, Miss.Ashraf Harshana, Miss.Chandrani Saha and Mr. Deepak Gupta from Intel technologies India Pvt. Ltd. for the valuable discussions and technical assistance. Reference [1] Y.P. Chen, J.W. Fang, Y.W. Chang, “ECO timing optimization using spare cells,” in Proceedings of International Conference on Computer-Aided Design, pp. 530-535, 2007. [2] Jui-Hung Hung, Yu-Cheng Lin, Wei-Kai Cheng, Tsai-Ming Hsieh, “Unified approach for simultaneous functional and timing ECO,” in IET Circuits Devices Syst, Vol. 10, Iss. 6, pp. 514–521, 2016. [3] Pei-Ci Wu, Martin D. F. Wong, IvailoNedelchev, Sarvesh Bhardwaj, VidyamaniParkhe, “On Timing Closure: Buffer Insertion for Hold-Violation Removal,” ACM 978-1-4503-2730-5/14/06, 2014. [4] C. Lin and H. Zhou, “Clock skew scheduling with delay padding for prescribed skew domains,” in Design Automation Conference, 2007. ASP-DAC’07, Asia and South Pacific, pages 541–546, IEEE, 2007 [5] Subhendu Roy, Pavlos M. Mattheakis, Laurent Masse-Navette, and David Z. Pan, “Clock Tree Resynthesis for Multi-Corner Multi- Mode Timing Closure,”in IEEE transactions on computer-aided design of integrated circuits and systems, vol. 34, no. 4, April 2015 [6] S. Huang and Y. Lin, “Utilizing Clock Skew For Timing Reliability Improvement,” IEEE, 2007 [7] Bhasker, Jayaram, and Rakesh Chadha “Static Timing Analysis for Nanometer Designs: A Practical Approach", Springer Science & Business Media, April 3, 2009. [8] Synopsys “PrimeTime User Guide", Version L-2016.06. [9] Synopsys “PrimeTime Suite Variables and Attributes", Version K-2015.06-SP2, June 2015.