SlideShare a Scribd company logo
On Error Injection for NoC Platforms:
A UVM-based Practical Case Study
Sameh El-Ashry1, Hala Ibrahim2, Moamen A. Ibrahem2, Mostafa Khamis3,
Ahmed Shalaby4, Mohamed AbdElsalam3,
M. Watheq El-Kharashi1
1Department of Computer and Systems Engineering, Ain Shams University, Cairo, Egypt
2Department of Communications and Electronics, Faculty of Engineering, Alexandria University, Alexandria, Egypt
3Mentor Graphics, Cairo, Egypt
4Department of Computer Science, Faculty of Computers and Informatics, Benha University, Egypt
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Outline
◎ Motivation.
◎ Introduction.
◎ Related Work.
◎ Proposed UVM Error Injection Methodologies.
1. Faulty UVM Sequence Item or Transaction.
2. Faulty UVM Reference Model.
3. Bus Error-Injector Agent between Routers.
◎ Case Studies.
1. A Base Router.
2. A Configurable Router: Daniel Router.
◎ Proposed UVM Architecture to Support Error Injection Techniques.
1. Modifications Made to a Typical SB Structure.
2. Simulation Results: Actual Response.
◎ Conclusion.
◎ Future Work.
◎ References.
2/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Motiviation
Why?
How?
• To test the reliability of any
system.
• To help verification engineers
design dependable UVM
scoreboards to detect errors.
• Decide on the feasibility of
error injection techniques
with NoC platforms.
• By using UVM environment
to both inject and detect the
errors.
3/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Why UVM (Universal Verification Methodology)?
We want to check
that NoC systems
meet design
specifications.
We build verification
environments to validate the
reliability of NoC different
architectures.
We inject errors to
verify that the system
either handles them or
ignores them.
We cannot use traditional
testbenches because NoCs
are complex and scalable
systems.
We use UVM as it
contains generic
components that
can be reused.
4/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Traditional
Universal Verification Methodology (UVM)
 UVM:
- A complete verification environment composed of reusable verification
components.
- Needs no re-construction when design under test (DUT) changes.
- A comprehensive methodology of constrained-random, coverage-driven
verification.
* Basic UVM, Verification Academy.
5/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Dependences between faults, errors, and
failure
 Modern device scaling results in deep sub-micron noises, which cause
interconnects errors to be more dominant and harder to predict, and result
in new error sources.
6/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Hardware-level detectable errors types
 Correctable errors. Correction is typically made through encoding schemes
at the physical layer level.
 Non-correctable, but recoverable errors. Recovery is done through data
retransmission, managed by higher-level protocol layers.
 Non-correctable, irrecoverable errors. These errors are detected and
reported to software layers through a set of status registers and error-
triggered interrupts.
 State-confusing errors. Such errors lead to undefined system state,
requiring a physical hardware reset to recover.
Hardware-level detectable errors can also be
classified to design, operational, and
algorithmic errors.
7/28
Error
Injection
Directions
Design errors could pass verification tests and
manifest suddenly at runtime, causing state
malfunction, data loss, and software
application or entire system failure.
Operational errors by corrupting
a predetermined percentage of
the data transferred between
routers.
Algorithmic errors depend on the
failure of an algorithm to perform
its required task correctly.
8/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Related Work1
 Steinberg proposed a typical memory model that is configured to store
data with a Verilog-based error injector to inject errors to verify the soft
error scenarios in modern digital RTL circuits [1].
 A comprehensive fault-tolerant verification platform is presented in [2]. It
is used to characterize the impact of fault attribute on error coverage. It
introduced a tool performing fault injection into VHDL models at chip-level,
register-level, and gate-level using the built-in commands of the RTL
simulator.
9/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Related Work2
 A custom verification system is designed to evaluate the application
behavior on embedded microprocessors under faulty conditions [3], The
fault injection block is part of the DUT itself.
 Error injection techniques are surveyed in [4]. Hardware based fault
injection, Software-based fault injection, Simulation based fault injection,
and Emulation-based fault injection.
 To the best of our knowledge, no previous work has discussed error
injection in NoC several architectures. This makes our work the first to
cover how error injection is carried out and detected in NoC designs.
10/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Proposed UVM Error Injection
Methodologies
11/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Faulty UVM Sequence Item or Transaction (Method 1)
 This method can be considered as negative testing that sends
meaningless or non-interpretable data formats to the NoC environment.
 It is implemented through building wrong sequences in the test layer and
applying them to the NoC environment.
 After that, the DUT’s response is checked in a newly-built scoreboard
(SB), named “Error Detection SB”.
 To implement this method, we have to be fully aware of the router’s
architecture, used algorithms, and various parameters.
Targeted
layer
Scoreboard
12/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Faulty UVM Reference Model (Method 2)
 A router might suffer from any type of faults during runtime. So, a proper
validation of the network performance is carried out through modeling
runtime errors that happen in a single router by inserting a SystemVerilog
faulty router that has an issue with one or more of the router’s used
algorithm-based blocks.
13/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Bus Error-Injector Agent between Routers (Method 3)
 In this methodology, the UVM architecture is modified and the
connection made on the top level file for the NoC is altered.
 Instead of using normal Verilog wires, we use SystemVerilog interface
and the new interface is passed to a new agent called bus injector
agent.
 As a result, we can disable or enable the bus injector at any place in
the network through the UVM Configuration file.
 Through this agent, we can block the data flow between the routers
and inject errors.
 Injecting errors here is done through generating cycle delays or
corrupting the data itself.
14/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Case Studies
A Base Router A Configurable
Router: Daniel Router
Input port
East
West
North
South
Local
Output port
East
West
North
South
Local
ReqCntReqUpstr
GntUpstr
FullUpstr
PacketIn
ReqDnstr
GntDnstr
FullDnstr
PacketOut
GntCnt
Packet
15/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
A Base Router Packet format Vs Daniel Router
Packet format
Base Router Packet Format
Daniel Router Packet Format
16/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
A Base Router [5]
 Injecting errors through a faulty sequence item or transaction is done
through generating meaningless or Out-Of-Range (OOR) data.
 Injecting errors through a faulty UVM reference model is done through
connecting a faulty SystemVerilog router.
 Using a bus error-injector agent between routers, we are able to monitor
the flowing data between routers with prior knowledge of the packet
format. We are now able to corrupt data by applying delay or portion
masking.
 The error severity can vary when more important fields of the packet bits
are affected. Most probably, the impact of these errors is not predictable.
17/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
A Configurable Router: Daniel Router [7]
 Injecting errors through a faulty sequence item or transaction does
not differ greatly from that in the base router; differences are due
to architecture and data format variations that add new meaningful
fields to Daniel Router.
 Injecting errors through a faulty UVM reference model is done
through more important modules that can be modified to give a
faulty behavior, such as virtual channel allocation.
 Using a bus error-injector agent between routers, the methodology
will be more noticeable in Daniel Router, because the channel bits
have a higher percentage of meaningful and dedicated information
specified in the channel and flit fields.
18/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
UVM for Router Vs UVM for NoC

Proposed UVM environment for Router[8]
Proposed UVM environment for NOC[6]
19/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Proposed UVM Architecture to Support Error
Injection Techniques
20/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Simulation Results: Actual Response (Base Router)
21/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Simulation Results: Actual Response (Daniel Router)
22/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Conclusions
 This paper presented reusable mechanisms for NoC error-injection
using UVM.
 A novel methodology of error injection based on bus-injector is
proposed to detect NoC transmission errors in the simulation
environment.
 Verification plan for negative scenarios are applied to two NoC case
studies and results are evaluated to detect and check the error
severity in the UVM SB.
 Experimental results show the efficiency of proposed techniques
when adopting them using UVM.
23/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
Future Work
 Our future work would investigate the evaluation of error-injection
effect on the NoC performance metrics, such as latency and
throughput.
 It will also determine error severity levels through the functional
coverage while, varying different NoC parameters.
 Holding a comparative evaluation between differently applied error
injection methodologies with varying different NOC parameters.
Detect a runtime errors in the Hardware Emulation by a runtime
UVM monitors as the emulation will give us the ability to run the
UVM environment while prototyping the Network on Chip in a real
Hardware Emulation.
24/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
References
[1] D. Steinberg, “Constrained random error injection for functional verification”, US
Patent NO. 8,595,680, 2013.
[2] Y. Y. Chen and G. E. Jan, “Development of scenario-based fault injection
platform and its application study”, Tamkang Journal of Science and Engineering,
Vol. 13, No. 2, 2010, pp. 205–214.
[3] B. Ustaoglu and B. Ors, “Design and implementation of a custom verification
environment for fault injection and analysis on an embedded microprocessor”,
Technological Advances in Electrical, Electronics and Computer Engineering
(TAEECE), 2015.
[4] H. Ziade, "A survey on fault injection techniques", The International Arab
Journal of Information Technology (IAJIT), vol. 1, no. 2, 2004, pp. 171–186.
25/28
NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
References
[5] M. S. Sayed, A. Shalaby, M. El-Sayed, and V. Goulart ,“Flexible router
architecture for network-on-chip”, Computers & Mathematics with Applications, 64
(5),1301–1310, 2012.
[6] A. S. Eissa, M. A. Ibrahem, M. A. Elmohr, Y. Zamzam, A. El-Yamany,
S. El-Ashry, M. Khamis, and A. Shalaby, “A reusable verification environment for
NoC Platforms using UVM” in Proceedings of 17th IEEE International Conference
on Smart Technologies (EUROCON), 2017.
[7] D. U. Becker, N. Jiang, G. Michelogiannakis, and W. J. Dally, “Adaptive
backpressure: Efficient buffer management for On-Chip Networks,” in Proceedings
of the 30th IEEE International Conference on Computer Design (ICCD), 2012, pp.
419–426.
[8] A. El-Naggar, E. Massoud, A. Medhat, H. Ibrahim, B. Al-Abassy, S. El-Ashry,
M. Khamis, and A. Shalaby,“A Narrative of UVM testbench environment for
interconnection routers: A practical approach”, in Proceedings of the 11th
International Design & Test Symposium (IDT), 2016, pp. 98-103.
26/28
Thanks for your attention
27/28
Questions?

More Related Content

What's hot

UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdf
SamHoney6
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
Dr. Shivananda Koteshwar
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesNirav Desai
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
Raghavendra Kamath
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
Pushpa Yakkala
 
Functional verification techniques EW16 session
Functional verification techniques  EW16 sessionFunctional verification techniques  EW16 session
Functional verification techniques EW16 session
Sameh El-Ashry
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
Azad Mishra
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
Ramdas Mozhikunnath
 
Profile Guided Optimization
Profile Guided OptimizationProfile Guided Optimization
Profile Guided Optimization
Northwest C++ Users' Group
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
Usha Mehta
 
Verification Challenges and Methodologies
Verification Challenges and MethodologiesVerification Challenges and Methodologies
Verification Challenges and Methodologies
Dr. Shivananda Koteshwar
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Sameh El-Ashry
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
Arrow Devices
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
Usha Mehta
 
Uvm dac2011 final_color
Uvm dac2011 final_colorUvm dac2011 final_color
Uvm dac2011 final_color
Jamal EL HAITOUT
 
SystemVerilog Assertion.pptx
SystemVerilog Assertion.pptxSystemVerilog Assertion.pptx
SystemVerilog Assertion.pptx
Nothing!
 
How does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to itHow does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to it
aliirfan04
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelDVClub
 

What's hot (20)

UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdf
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
ATPG flow chart
ATPG flow chart ATPG flow chart
ATPG flow chart
 
Functional verification techniques EW16 session
Functional verification techniques  EW16 sessionFunctional verification techniques  EW16 session
Functional verification techniques EW16 session
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Profile Guided Optimization
Profile Guided OptimizationProfile Guided Optimization
Profile Guided Optimization
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Verification Challenges and Methodologies
Verification Challenges and MethodologiesVerification Challenges and Methodologies
Verification Challenges and Methodologies
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Uvm dac2011 final_color
Uvm dac2011 final_colorUvm dac2011 final_color
Uvm dac2011 final_color
 
SystemVerilog Assertion.pptx
SystemVerilog Assertion.pptxSystemVerilog Assertion.pptx
SystemVerilog Assertion.pptx
 
How does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to itHow does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to it
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
 

Similar to On Error Injection for NoC Platforms: A UVM-based Practical Case Study

Web server load prediction and anomaly detection from hypertext transfer prot...
Web server load prediction and anomaly detection from hypertext transfer prot...Web server load prediction and anomaly detection from hypertext transfer prot...
Web server load prediction and anomaly detection from hypertext transfer prot...
IJECEIAES
 
An Efficient Approach Towards Mitigating Soft Errors Risks
An Efficient Approach Towards Mitigating Soft Errors RisksAn Efficient Approach Towards Mitigating Soft Errors Risks
An Efficient Approach Towards Mitigating Soft Errors Risks
sipij
 
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
IJECEIAES
 
Csit77404
Csit77404Csit77404
Csit77404
csandit
 
Fault Injection Approach for Network on Chip
Fault Injection Approach for Network on ChipFault Injection Approach for Network on Chip
Fault Injection Approach for Network on Chip
ijsrd.com
 
Network Traffic Anomaly Detection Through Bayes Net
Network Traffic Anomaly Detection Through Bayes NetNetwork Traffic Anomaly Detection Through Bayes Net
Network Traffic Anomaly Detection Through Bayes Net
Gyan Prakash
 
A system for denial of-service attack detection based on multivariate correla...
A system for denial of-service attack detection based on multivariate correla...A system for denial of-service attack detection based on multivariate correla...
A system for denial of-service attack detection based on multivariate correla...
IGEEKS TECHNOLOGIES
 
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMSHYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
IAEME Publication
 
Pci journal 2003
Pci journal 2003Pci journal 2003
Pci journal 2003
Ramin Vaghei
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
ijcisjournal
 
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
IES VE
 
Novel Method to Overcome Vulnerability in Wi-Fi Network
Novel Method to Overcome Vulnerability in Wi-Fi NetworkNovel Method to Overcome Vulnerability in Wi-Fi Network
Novel Method to Overcome Vulnerability in Wi-Fi Network
IRJET Journal
 
4213ijsea04
4213ijsea044213ijsea04
4213ijsea04
ijseajournal
 
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELSTHE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
IJSEA
 
Intrusion Detection System using K-Means Clustering and SMOTE
Intrusion Detection System using K-Means Clustering and SMOTEIntrusion Detection System using K-Means Clustering and SMOTE
Intrusion Detection System using K-Means Clustering and SMOTE
IRJET Journal
 
A Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
A Novel Analysis Space For Pointer Analysis And Its Application For Bug FindingA Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
A Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
Scott Donald
 
Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...
IJECEIAES
 
An Enhanced Technique for Network Traffic Classification with unknown Flow De...
An Enhanced Technique for Network Traffic Classification with unknown Flow De...An Enhanced Technique for Network Traffic Classification with unknown Flow De...
An Enhanced Technique for Network Traffic Classification with unknown Flow De...
IRJET Journal
 

Similar to On Error Injection for NoC Platforms: A UVM-based Practical Case Study (20)

publication1
publication1publication1
publication1
 
Web server load prediction and anomaly detection from hypertext transfer prot...
Web server load prediction and anomaly detection from hypertext transfer prot...Web server load prediction and anomaly detection from hypertext transfer prot...
Web server load prediction and anomaly detection from hypertext transfer prot...
 
An Efficient Approach Towards Mitigating Soft Errors Risks
An Efficient Approach Towards Mitigating Soft Errors RisksAn Efficient Approach Towards Mitigating Soft Errors Risks
An Efficient Approach Towards Mitigating Soft Errors Risks
 
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
Black Box Model based Self Healing Solution for Stuck at Faults in Digital Ci...
 
Csit77404
Csit77404Csit77404
Csit77404
 
Fault Injection Approach for Network on Chip
Fault Injection Approach for Network on ChipFault Injection Approach for Network on Chip
Fault Injection Approach for Network on Chip
 
Network Traffic Anomaly Detection Through Bayes Net
Network Traffic Anomaly Detection Through Bayes NetNetwork Traffic Anomaly Detection Through Bayes Net
Network Traffic Anomaly Detection Through Bayes Net
 
A system for denial of-service attack detection based on multivariate correla...
A system for denial of-service attack detection based on multivariate correla...A system for denial of-service attack detection based on multivariate correla...
A system for denial of-service attack detection based on multivariate correla...
 
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMSHYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
HYBRID APPROACH TO DESIGN OF STORAGE ATTACHED NETWORK SIMULATION SYSTEMS
 
Pci journal 2003
Pci journal 2003Pci journal 2003
Pci journal 2003
 
A0520106
A0520106A0520106
A0520106
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
 
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
Synthesis and Refinement of Artificial HVAC Sensor Data Intended for Supervis...
 
Novel Method to Overcome Vulnerability in Wi-Fi Network
Novel Method to Overcome Vulnerability in Wi-Fi NetworkNovel Method to Overcome Vulnerability in Wi-Fi Network
Novel Method to Overcome Vulnerability in Wi-Fi Network
 
4213ijsea04
4213ijsea044213ijsea04
4213ijsea04
 
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELSTHE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
THE REMOVAL OF NUMERICAL DRIFT FROM SCIENTIFIC MODELS
 
Intrusion Detection System using K-Means Clustering and SMOTE
Intrusion Detection System using K-Means Clustering and SMOTEIntrusion Detection System using K-Means Clustering and SMOTE
Intrusion Detection System using K-Means Clustering and SMOTE
 
A Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
A Novel Analysis Space For Pointer Analysis And Its Application For Bug FindingA Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
A Novel Analysis Space For Pointer Analysis And Its Application For Bug Finding
 
Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...Design and implementation of secured agent based NoC using shortest path rout...
Design and implementation of secured agent based NoC using shortest path rout...
 
An Enhanced Technique for Network Traffic Classification with unknown Flow De...
An Enhanced Technique for Network Traffic Classification with unknown Flow De...An Enhanced Technique for Network Traffic Classification with unknown Flow De...
An Enhanced Technique for Network Traffic Classification with unknown Flow De...
 

Recently uploaded

ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
Rahul
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
ClaraZara1
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
PPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testingPPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testing
anoopmanoharan2
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
MIGUELANGEL966976
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
zwunae
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
aqil azizi
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
jpsjournal1
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
Mukeshwaran Balu
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
nooriasukmaningtyas
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
SUTEJAS
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
gestioneergodomus
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
SyedAbiiAzazi1
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
Madan Karki
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
obonagu
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
awadeshbabu
 
Series of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.pptSeries of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.ppt
PauloRodrigues104553
 

Recently uploaded (20)

ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
PPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testingPPT on GRP pipes manufacturing and testing
PPT on GRP pipes manufacturing and testing
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
 
Series of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.pptSeries of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.ppt
 

On Error Injection for NoC Platforms: A UVM-based Practical Case Study

  • 1. On Error Injection for NoC Platforms: A UVM-based Practical Case Study Sameh El-Ashry1, Hala Ibrahim2, Moamen A. Ibrahem2, Mostafa Khamis3, Ahmed Shalaby4, Mohamed AbdElsalam3, M. Watheq El-Kharashi1 1Department of Computer and Systems Engineering, Ain Shams University, Cairo, Egypt 2Department of Communications and Electronics, Faculty of Engineering, Alexandria University, Alexandria, Egypt 3Mentor Graphics, Cairo, Egypt 4Department of Computer Science, Faculty of Computers and Informatics, Benha University, Egypt NoCArc'17, October 15, 2017, Boston, MA, USA © 2017
  • 2. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Outline ◎ Motivation. ◎ Introduction. ◎ Related Work. ◎ Proposed UVM Error Injection Methodologies. 1. Faulty UVM Sequence Item or Transaction. 2. Faulty UVM Reference Model. 3. Bus Error-Injector Agent between Routers. ◎ Case Studies. 1. A Base Router. 2. A Configurable Router: Daniel Router. ◎ Proposed UVM Architecture to Support Error Injection Techniques. 1. Modifications Made to a Typical SB Structure. 2. Simulation Results: Actual Response. ◎ Conclusion. ◎ Future Work. ◎ References. 2/28
  • 3. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Motiviation Why? How? • To test the reliability of any system. • To help verification engineers design dependable UVM scoreboards to detect errors. • Decide on the feasibility of error injection techniques with NoC platforms. • By using UVM environment to both inject and detect the errors. 3/28
  • 4. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Why UVM (Universal Verification Methodology)? We want to check that NoC systems meet design specifications. We build verification environments to validate the reliability of NoC different architectures. We inject errors to verify that the system either handles them or ignores them. We cannot use traditional testbenches because NoCs are complex and scalable systems. We use UVM as it contains generic components that can be reused. 4/28
  • 5. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Traditional Universal Verification Methodology (UVM)  UVM: - A complete verification environment composed of reusable verification components. - Needs no re-construction when design under test (DUT) changes. - A comprehensive methodology of constrained-random, coverage-driven verification. * Basic UVM, Verification Academy. 5/28
  • 6. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Dependences between faults, errors, and failure  Modern device scaling results in deep sub-micron noises, which cause interconnects errors to be more dominant and harder to predict, and result in new error sources. 6/28
  • 7. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Hardware-level detectable errors types  Correctable errors. Correction is typically made through encoding schemes at the physical layer level.  Non-correctable, but recoverable errors. Recovery is done through data retransmission, managed by higher-level protocol layers.  Non-correctable, irrecoverable errors. These errors are detected and reported to software layers through a set of status registers and error- triggered interrupts.  State-confusing errors. Such errors lead to undefined system state, requiring a physical hardware reset to recover. Hardware-level detectable errors can also be classified to design, operational, and algorithmic errors. 7/28
  • 8. Error Injection Directions Design errors could pass verification tests and manifest suddenly at runtime, causing state malfunction, data loss, and software application or entire system failure. Operational errors by corrupting a predetermined percentage of the data transferred between routers. Algorithmic errors depend on the failure of an algorithm to perform its required task correctly. 8/28
  • 9. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Related Work1  Steinberg proposed a typical memory model that is configured to store data with a Verilog-based error injector to inject errors to verify the soft error scenarios in modern digital RTL circuits [1].  A comprehensive fault-tolerant verification platform is presented in [2]. It is used to characterize the impact of fault attribute on error coverage. It introduced a tool performing fault injection into VHDL models at chip-level, register-level, and gate-level using the built-in commands of the RTL simulator. 9/28
  • 10. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Related Work2  A custom verification system is designed to evaluate the application behavior on embedded microprocessors under faulty conditions [3], The fault injection block is part of the DUT itself.  Error injection techniques are surveyed in [4]. Hardware based fault injection, Software-based fault injection, Simulation based fault injection, and Emulation-based fault injection.  To the best of our knowledge, no previous work has discussed error injection in NoC several architectures. This makes our work the first to cover how error injection is carried out and detected in NoC designs. 10/28
  • 11. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Proposed UVM Error Injection Methodologies 11/28
  • 12. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Faulty UVM Sequence Item or Transaction (Method 1)  This method can be considered as negative testing that sends meaningless or non-interpretable data formats to the NoC environment.  It is implemented through building wrong sequences in the test layer and applying them to the NoC environment.  After that, the DUT’s response is checked in a newly-built scoreboard (SB), named “Error Detection SB”.  To implement this method, we have to be fully aware of the router’s architecture, used algorithms, and various parameters. Targeted layer Scoreboard 12/28
  • 13. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Faulty UVM Reference Model (Method 2)  A router might suffer from any type of faults during runtime. So, a proper validation of the network performance is carried out through modeling runtime errors that happen in a single router by inserting a SystemVerilog faulty router that has an issue with one or more of the router’s used algorithm-based blocks. 13/28
  • 14. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Bus Error-Injector Agent between Routers (Method 3)  In this methodology, the UVM architecture is modified and the connection made on the top level file for the NoC is altered.  Instead of using normal Verilog wires, we use SystemVerilog interface and the new interface is passed to a new agent called bus injector agent.  As a result, we can disable or enable the bus injector at any place in the network through the UVM Configuration file.  Through this agent, we can block the data flow between the routers and inject errors.  Injecting errors here is done through generating cycle delays or corrupting the data itself. 14/28
  • 15. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Case Studies A Base Router A Configurable Router: Daniel Router Input port East West North South Local Output port East West North South Local ReqCntReqUpstr GntUpstr FullUpstr PacketIn ReqDnstr GntDnstr FullDnstr PacketOut GntCnt Packet 15/28
  • 16. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 A Base Router Packet format Vs Daniel Router Packet format Base Router Packet Format Daniel Router Packet Format 16/28
  • 17. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 A Base Router [5]  Injecting errors through a faulty sequence item or transaction is done through generating meaningless or Out-Of-Range (OOR) data.  Injecting errors through a faulty UVM reference model is done through connecting a faulty SystemVerilog router.  Using a bus error-injector agent between routers, we are able to monitor the flowing data between routers with prior knowledge of the packet format. We are now able to corrupt data by applying delay or portion masking.  The error severity can vary when more important fields of the packet bits are affected. Most probably, the impact of these errors is not predictable. 17/28
  • 18. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 A Configurable Router: Daniel Router [7]  Injecting errors through a faulty sequence item or transaction does not differ greatly from that in the base router; differences are due to architecture and data format variations that add new meaningful fields to Daniel Router.  Injecting errors through a faulty UVM reference model is done through more important modules that can be modified to give a faulty behavior, such as virtual channel allocation.  Using a bus error-injector agent between routers, the methodology will be more noticeable in Daniel Router, because the channel bits have a higher percentage of meaningful and dedicated information specified in the channel and flit fields. 18/28
  • 19. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 UVM for Router Vs UVM for NoC  Proposed UVM environment for Router[8] Proposed UVM environment for NOC[6] 19/28
  • 20. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Proposed UVM Architecture to Support Error Injection Techniques 20/28
  • 21. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Simulation Results: Actual Response (Base Router) 21/28
  • 22. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Simulation Results: Actual Response (Daniel Router) 22/28
  • 23. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Conclusions  This paper presented reusable mechanisms for NoC error-injection using UVM.  A novel methodology of error injection based on bus-injector is proposed to detect NoC transmission errors in the simulation environment.  Verification plan for negative scenarios are applied to two NoC case studies and results are evaluated to detect and check the error severity in the UVM SB.  Experimental results show the efficiency of proposed techniques when adopting them using UVM. 23/28
  • 24. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 Future Work  Our future work would investigate the evaluation of error-injection effect on the NoC performance metrics, such as latency and throughput.  It will also determine error severity levels through the functional coverage while, varying different NoC parameters.  Holding a comparative evaluation between differently applied error injection methodologies with varying different NOC parameters. Detect a runtime errors in the Hardware Emulation by a runtime UVM monitors as the emulation will give us the ability to run the UVM environment while prototyping the Network on Chip in a real Hardware Emulation. 24/28
  • 25. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 References [1] D. Steinberg, “Constrained random error injection for functional verification”, US Patent NO. 8,595,680, 2013. [2] Y. Y. Chen and G. E. Jan, “Development of scenario-based fault injection platform and its application study”, Tamkang Journal of Science and Engineering, Vol. 13, No. 2, 2010, pp. 205–214. [3] B. Ustaoglu and B. Ors, “Design and implementation of a custom verification environment for fault injection and analysis on an embedded microprocessor”, Technological Advances in Electrical, Electronics and Computer Engineering (TAEECE), 2015. [4] H. Ziade, "A survey on fault injection techniques", The International Arab Journal of Information Technology (IAJIT), vol. 1, no. 2, 2004, pp. 171–186. 25/28
  • 26. NoCArc'17, October 15, 2017, Boston, MA, USA © 2017 References [5] M. S. Sayed, A. Shalaby, M. El-Sayed, and V. Goulart ,“Flexible router architecture for network-on-chip”, Computers & Mathematics with Applications, 64 (5),1301–1310, 2012. [6] A. S. Eissa, M. A. Ibrahem, M. A. Elmohr, Y. Zamzam, A. El-Yamany, S. El-Ashry, M. Khamis, and A. Shalaby, “A reusable verification environment for NoC Platforms using UVM” in Proceedings of 17th IEEE International Conference on Smart Technologies (EUROCON), 2017. [7] D. U. Becker, N. Jiang, G. Michelogiannakis, and W. J. Dally, “Adaptive backpressure: Efficient buffer management for On-Chip Networks,” in Proceedings of the 30th IEEE International Conference on Computer Design (ICCD), 2012, pp. 419–426. [8] A. El-Naggar, E. Massoud, A. Medhat, H. Ibrahim, B. Al-Abassy, S. El-Ashry, M. Khamis, and A. Shalaby,“A Narrative of UVM testbench environment for interconnection routers: A practical approach”, in Proceedings of the 11th International Design & Test Symposium (IDT), 2016, pp. 98-103. 26/28
  • 27. Thanks for your attention 27/28