SlideShare a Scribd company logo
CS 8351- DIGITAL PRINCIPLES
AND SYSTEM DESIGN
II YEAR CSE & IT
HDL- HARDWARE DESCRIPTIVE
LANGUAGE
K.BALAJI, AP/ECE, SSMCE
HDL- HARDWARE DESCRIPTIVE
LANGUAGE
A Hardware Descriptive Language
describes the hardware of the digital
system in a Textual Form.
K.BALAJI, AP/ECE, SSMCE
HDL
DESIGN
FLOW
K.BALAJI, AP/ECE, SSMCE
Logic Simulation
• A Simulator interprets the HDL
description given by the designer and
produces a readable output, like a Timing
Diagram, that predicts how the hardware
will behave before it is actually
fabricated.
K.BALAJI, AP/ECE, SSMCE
TEST BENCH
A Testbench is a HDL Program used as
a stimulus to test the functionality of
the design
K.BALAJI, AP/ECE, SSMCE
LOGIC SYNTHESIS
Logic Synthesis is the process of deriving a list
of components and their interconnections
from the model of a digital system described
in HDL.
K.BALAJI, AP/ECE, SSMCE
Two HDL Languages
• VHDL- VHSIC HDL – Very High Speed
Integrated Circuit HDL
• Verilog HDL
K.BALAJI, AP/ECE, SSMCE
HALF ADDER:
Verilog PROGRAM FOR HALF ADDER:
module halfadder (a,b, sum,carry);
input a,b;
output sum,carry;
xor G1(sum,a,b);
and G2(carry,a,b);
endmodule
K.BALAJI, AP/ECE, SSMCE
FULL ADDER:
K.BALAJI, AP/ECE, SSMCE
PROGRAM FOR FULL ADDER:
module fulladder(a,b,c,sum,carry);
input a,b,c;
output sum,carry;
wire a1,a2,a3;
xor G0(sum,a,b,c);
K.BALAJI, AP/ECE, SSMCE
PROGRAM FOR FULL ADDER:
module fulladder(a,b,c,sum,carry);
input a,b,c;
output sum,carry;
wire a1,a2,a3;
xor G0(sum,a,b,c);
and G1(a1,a,b);
K.BALAJI, AP/ECE, SSMCE
PROGRAM FOR FULL ADDER:
module fulladder(a,b,c,sum,carry);
input a,b,c;
output sum,carry;
wire a1,a2,a3;
xor G0(sum,a,b,c);
and G1(a1,a,b);
and G2(a2, b,c);
K.BALAJI, AP/ECE, SSMCE
PROGRAM FOR FULL ADDER:
module fulladder(a,b,c,sum,carry);
input a,b,c;
output sum,carry;
wire a1,a2,a3;
xor G0(sum,a,b,c);
and G1(a1,a,b);
and G2(a2, b,c);
and G3(a3,a,c);
or G4(carry,a1,a2,a3);
endmodule
K.BALAJI, AP/ECE, SSMCE
PROGRAM FOR HALF SUBTRACTOR:
module halfsubtractor(a,b,borrow,difference);
input a,b;
output borrow,difference;
wire a1;
xor G1(difference,a,b);
not G2(a1,a)
and G3(borrow,a1,b);
endmodule
K.BALAJI, AP/ECE, SSMCE
FULL SUBTRACTOR:
module fullsubtractor(a,b,c,borrow,difference);
input a,b,c;
output borrow,difference;
wire a0,a1,a2,a3;
xor G1(difference,a,b,c);
not G2(a0,a)
and G3(a1,a0,b);
and G4(a2,b,c);
and G5(a3,a0,c);
or G6(borrow,a1,a2,a3);
endmodule
K.BALAJI, AP/ECE, SSMCE
User Defined Primitives
K.BALAJI, AP/ECE, SSMCE
GATE DELAYS
K.BALAJI, AP/ECE, SSMCE
K.BALAJI, AP/ECE, SSMCE
HDL MODELS (OR)
MODELING TECHNIQUES
• Gate Level Modeling- It uses Logic Gates
• Data Flow Modeling- It Uses ‘operators’ and
Keyword ‘Assign’
• Behavioral Modeling- It uses Keyword
‘Always’ and assignments using the Truthtable
K.BALAJI, AP/ECE, SSMCE
MULTIPLEXER
K.BALAJI, AP/ECE, SSMCE
Gate Level Modeling code for
Multiplexer
module mux(S1,S0,I0,I1,I2,I3,Y);
input S1,S0,I0,I1,I2,I3;
output Y;
wire m1,m0,a1,a2,a3,a4;
not
G1(m1,S1),
G2(m0,S0);
and
G3(a1,I0,m1,m0),
G4(a2,I1,m1,S0),
G5(a3,I2,S1,m0),
G6(a4,I3,S1,S0);
or G7(Y,a1,a2,a3,a4);
endmodule
K.BALAJI, AP/ECE, SSMCE
DataFlow Modeling for Multiplexer
K.BALAJI, AP/ECE, SSMCE
Behavioral Modeling for Multiplexer
K.BALAJI, AP/ECE, SSMCE
K.BALAJI, AP/ECE, SSMCE
K.BALAJI, AP/ECE, SSMCE
K.BALAJI, AP/ECE, SSMCE
K.BALAJI, AP/ECE, SSMCE
REFERENCES:
1. M. Morris R. Mano, Michael D. Ciletti,
―Digital Design: With an Introduction to the
Verilog HDL, VHDL, and SystemVerilog‖, 6th
Edition, Pearson Education, 2017.
2.Digital Principles and System Design, D.Edwin
Das, Trisea Publisher
K.BALAJI, AP/ECE, SSMCE

More Related Content

What's hot

What's hot (20)

Prefix Postfix
Prefix PostfixPrefix Postfix
Prefix Postfix
 
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedDESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
 
I don’t care if you have 360 Intra directional predictors
I don’t care if you have 360 Intra directional predictorsI don’t care if you have 360 Intra directional predictors
I don’t care if you have 360 Intra directional predictors
 
VERILOG CODE FOR Adder
VERILOG CODE FOR AdderVERILOG CODE FOR Adder
VERILOG CODE FOR Adder
 
Ripple Carry Adder
Ripple Carry AdderRipple Carry Adder
Ripple Carry Adder
 
Adder
Adder Adder
Adder
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2
 
35th 36th Lecture
35th 36th Lecture35th 36th Lecture
35th 36th Lecture
 
Adsd assig-1
Adsd assig-1Adsd assig-1
Adsd assig-1
 
My Report on adders
My Report on addersMy Report on adders
My Report on adders
 
Presen 179
Presen 179Presen 179
Presen 179
 
Implementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select AdderImplementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select Adder
 
Group p
Group pGroup p
Group p
 
Parallel Adder
Parallel Adder Parallel Adder
Parallel Adder
 
implementation and design of 32-bit adder
implementation and design of 32-bit adderimplementation and design of 32-bit adder
implementation and design of 32-bit adder
 
ECE 368 Lab Project 1
ECE 368 Lab Project 1ECE 368 Lab Project 1
ECE 368 Lab Project 1
 
6. Implementation
6. Implementation6. Implementation
6. Implementation
 
8085 arithmetic instructions
8085 arithmetic instructions8085 arithmetic instructions
8085 arithmetic instructions
 
C++ programming example
C++ programming exampleC++ programming example
C++ programming example
 
Sacs otc 2012
Sacs otc 2012Sacs otc 2012
Sacs otc 2012
 

Similar to Cs 8351 dpsd-hdl

verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gatesRakesh kumar jha
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language Prachi Pandey
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderIRJET Journal
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitNikhil Sahu
 
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYAN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYBHAVANA KONERU
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1komala vani
 
Ecad &vlsi lab 18
Ecad &vlsi lab 18Ecad &vlsi lab 18
Ecad &vlsi lab 18Shekar Midde
 
siudhai ki marks sheih shuuu kvms jiiiiv
siudhai ki marks sheih shuuu kvms jiiiivsiudhai ki marks sheih shuuu kvms jiiiiv
siudhai ki marks sheih shuuu kvms jiiiivwonderboystarpope
 
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdfJunZhao68
 
8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOT8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOTKarl Radestam
 

Similar to Cs 8351 dpsd-hdl (20)

verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gates
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
 
Session1
Session1Session1
Session1
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
slide8.ppt
slide8.pptslide8.ppt
slide8.ppt
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYAN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
GCC, GNU compiler collection
GCC, GNU compiler collectionGCC, GNU compiler collection
GCC, GNU compiler collection
 
verilog
verilogverilog
verilog
 
Digital Logic Design
Digital Logic Design Digital Logic Design
Digital Logic Design
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1
 
Ecad &vlsi lab 18
Ecad &vlsi lab 18Ecad &vlsi lab 18
Ecad &vlsi lab 18
 
Cadancesimulation
CadancesimulationCadancesimulation
Cadancesimulation
 
siudhai ki marks sheih shuuu kvms jiiiiv
siudhai ki marks sheih shuuu kvms jiiiivsiudhai ki marks sheih shuuu kvms jiiiiv
siudhai ki marks sheih shuuu kvms jiiiiv
 
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf
3 Open-Source-SYCL-Intel-Khronos-EVS-Workshop_May19.pdf
 
8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOT8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOT
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 

Recently uploaded

Toll tax management system project report..pdf
Toll tax management system project report..pdfToll tax management system project report..pdf
Toll tax management system project report..pdfKamal Acharya
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwoodseandesed
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdfKamal Acharya
 
Pharmacy management system project report..pdf
Pharmacy management system project report..pdfPharmacy management system project report..pdf
Pharmacy management system project report..pdfKamal Acharya
 
Arduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectArduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectRased Khan
 
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdfRESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdfKamal Acharya
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxR&R Consult
 
Top 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering ScientistTop 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering Scientistgettygaming1
 
ENERGY STORAGE DEVICES INTRODUCTION UNIT-I
ENERGY STORAGE DEVICES  INTRODUCTION UNIT-IENERGY STORAGE DEVICES  INTRODUCTION UNIT-I
ENERGY STORAGE DEVICES INTRODUCTION UNIT-IVigneshvaranMech
 
Construction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptxConstruction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptxwendy cai
 
fundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projectionfundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projectionjeevanprasad8
 
Digital Signal Processing Lecture notes n.pdf
Digital Signal Processing Lecture notes n.pdfDigital Signal Processing Lecture notes n.pdf
Digital Signal Processing Lecture notes n.pdfAbrahamGadissa
 
Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdfKamal Acharya
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdfAhmedHussein950959
 
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfA CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfKamal Acharya
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfPipe Restoration Solutions
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234AafreenAbuthahir2
 
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringIntroduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringC Sai Kiran
 
Peek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdfPeek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdfAyahmorsy
 

Recently uploaded (20)

Toll tax management system project report..pdf
Toll tax management system project report..pdfToll tax management system project report..pdf
Toll tax management system project report..pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdf
 
Pharmacy management system project report..pdf
Pharmacy management system project report..pdfPharmacy management system project report..pdf
Pharmacy management system project report..pdf
 
Arduino based vehicle speed tracker project
Arduino based vehicle speed tracker projectArduino based vehicle speed tracker project
Arduino based vehicle speed tracker project
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdfRESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 
Top 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering ScientistTop 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering Scientist
 
ENERGY STORAGE DEVICES INTRODUCTION UNIT-I
ENERGY STORAGE DEVICES  INTRODUCTION UNIT-IENERGY STORAGE DEVICES  INTRODUCTION UNIT-I
ENERGY STORAGE DEVICES INTRODUCTION UNIT-I
 
Construction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptxConstruction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptx
 
fundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projectionfundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projection
 
Digital Signal Processing Lecture notes n.pdf
Digital Signal Processing Lecture notes n.pdfDigital Signal Processing Lecture notes n.pdf
Digital Signal Processing Lecture notes n.pdf
 
Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdf
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfA CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical EngineeringIntroduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
Introduction to Machine Learning Unit-4 Notes for II-II Mechanical Engineering
 
Peek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdfPeek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdf
 

Cs 8351 dpsd-hdl