SlideShare a Scribd company logo
Boundary Scan
Training Support
Index
•What is bscan.
•Compile bscan test.
•Types of bscan tests.
•ECO
•Debbug/Common Failures
What is bscan.
• Boundary scan: Test technique of interconnections between IC pins as
supported by IEEE Std 1149.1.
• JTAG (JETAG): Joint Test Action Group/Joint European Test Action
Group Refers to the group that start the standardization of Boundary scan.
• IEEE 1149.1: This standard defines a test access port and boundary-
scan architecture for digital integrated circuits and for the digital portions of
mixed analog/digital integrated circuits.
What is bscan.
What is bscan.
What is bscan.
What is bscan.
What is bscan.
What is bscan.
TDO
U1
Core
Logic
TAP
TDI TDO
…
Instruction Reg
…
ID Code Reg.
Bypass
TMS
TCK
U2
Core
Logic
TAP
TDI TDO
…
Instruction Reg
…
ID Code Reg
Bypass
U3
Core
Logic
TAP
TDI
…
Instruction Reg
…
ID Code Reg.
Bypass
TDI
…
U4
Core
Logic
TAP
TDI
…
Instruction Reg
…
ID Code Reg
Bypass
BSCAN Test Files
ITL vs Digital
PCF
! D = Driver; B = Bidirectional; R = Receiver
! DDDDBBRRRR
! ----------
"000001HHHH"
"0111HLLLHL"
"00......LL" ! dot means "repeat state from previous line"
! - same as "0011HLLLLL"
"1ZZ000HLXX"
sequential ! Test U1_U4
vector cycle 200n
receive delay 100n
assign TCK to nodes "TCK"
assign TDI to nodes "TDI"
assign TDO to nodes "TDO"
assign TMS to nodes "TMS"
family TTL !! Warning, Defaulted family
inputs TCK
inputs TMS
inputs TDI
outputs TDO
pcf order default Scan is TCK, TMS, TDI, TDO
!Column-to-Node Map, Nodes 1 to 4
!
unit "Scan_Test" ! Vector 1
pcf
use pcf order Scan
"01ZX"
"11ZX"
"01ZX"
"11ZX"
"01ZX"
"11ZX"
"01ZX"
"11ZX"
"01ZX"
"11ZX"!Test-Logic-Reset
"00ZX"
"10ZX"!Run-Test/Idle
"01ZX"
"11ZX"!Select-DR-Scan
"01ZX"
"11ZX"!Select-IR-Scan
"00ZX"
"10ZX"!Capture-IR
"00ZX"
"10ZX"!Shift-IR
Types of Bscan Test
• Integrity
• Disable
• Connect
• Interconnect
• Silicon Nails
• Cover Extended
INTEGRITY
Posibles Causas
Agujas defectuosa.
Voltaje no presente.
Voltaje no regulado.
Pines elevados.
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
Voltaje Incorrecto
message "IEEE Std 1149.1-2001 Integrity Failure"
message " Device #%IC120 has failed,"
message " suspect device or these pins:"
message " (tck) 91"
message " (tms) 90"
message " (tdi) 94"
message " (tdo) 95"
It verifies that the chain is operable, and that
the scan path is intact. This is done by
verifying the two least significant bits of the
Instruction Register, which are captured
during the IR-CAPTURE state.
ID CODE
Posibles Causas
BSDL incorrecto
Instrucción Erronea
IC Incorrecto
ECO
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
message "IEEE Std 1149.1-2001 IDCODE failure"
message "in Device #%IC603, expecting:"
message " 00001010111001001001000000011101"
DISABLE (ic603_dis.vcl)
Posibles Causas
BSDL incorrecto
Instrucción Errónea
Voltaje Incorrecto
Pines elevados.
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
When a connect test is executed, only one
device is tested at a time. If other devices in
the chain have bussed pins that need to be
disabled in order to test a pin of the selected
device, the bussed devices will be issued the
HIGHZ instruction, instead of the BYPASS
instruction, to allow testing of the bussed pins.
CONNECT (ic603_connect_a)
Posibles Causas
HDD mas sensibles
Voltaje Incorrecto
Disable no colocado correctamente
Unidades Programadas
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
INTERCONNECT (ic120_ic603)
Posibles Causas
HDD mas sensibles
Voltaje Incorrecto, Irregular
Ground Plane
Unidades Programadas
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
SILICON NAILS
Posibles Causas
HDD mas sensibles
Voltaje Incorrecto
Unidades Programadas
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
u6 HAS FAILED
SILICON NAIL FAILURE DETECTED FOR TEST
Failing Vector #: 522 (message follows)
Silicon Nail Test failed nailed output:
Vector 3 of pre-serialized test.
-----------------------------------------
Opens on Output or Bidir Pins
U6.6
-----------------------------------------
A limitation of Silicon Nails testing is the low, real vector application rate to the
DUT. For example, running TDI with a rate of 5 MHz through a chain of over 1,000
cells results in less than a 5 kHz real vector application rate. This can be a problem
if you are testing dynamic components.
SILICON NAILS DRR
COVER EXTENDED
COVER EXTENDED GUIDELINES
• Minimizing noise is the goal!
• Use Agilent sensor plates (thicker)
• Use snap-on ferrite on USB cable
• Boundary scan tests must be 100% stable
• VTEP tests must be 100% debugged
• Use 07.20pd or newer software revision
• Remove nailed nodes from the CET test to
reduce noise (test nailed nodes w/ VTEP)
• “verify all mux cards” to check VTEP, then
power up CET mux card and “verify all CET
cards”
• If board has multiple identical connectors,
retain probes for one connector to
characterize the VTEP and boundary scan
performance
• Customize sensor plates for maximum
coupling, remove unneeded copper
DEBUGG
ECO
Cambio Conexión de Nodos
Pull up, Pull down
Pin queda desconectado
Unidades Programadas
Resistencias no pobladas.
ICs en la cadena no deshabilitados correctamente.
DUAL Core
COMMON FAILURES
COMMON FAILURES
COMMON FAILURES
COMMON FAILURES
COMMON
FAILURES
PREGUNTAS
¡Gracias!

More Related Content

What's hot

Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
klinetik
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
Alexandru IOVANOVICI
 
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC [DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
DefconRussia
 
Never Trust Your Inputs
Never Trust Your InputsNever Trust Your Inputs
Never Trust Your Inputs
Alexander Bolshev
 
Jtag
JtagJtag
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuits
ijsrd.com
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
Dilip Mathuria
 
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
Shawn Lee
 
Hardware Trojan detection using Clock sweeping method
Hardware Trojan detection using Clock sweeping methodHardware Trojan detection using Clock sweeping method
Hardware Trojan detection using Clock sweeping method
Ashish Maurya
 
Hardware Trojans
Hardware TrojansHardware Trojans
Hardware Trojans
Rahul Krishnamurthy
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
ESUG
 
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGADesign, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
Vivek Venugopalan
 
Hardware Trojans By - Anupam Tiwari
Hardware Trojans By - Anupam TiwariHardware Trojans By - Anupam Tiwari
Hardware Trojans By - Anupam Tiwari
OWASP Delhi
 
trojan detection
trojan detectiontrojan detection
trojan detection
SRI NISHITH
 
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
Shawn Lee
 
Medium Performance Gyroscopes
Medium Performance GyroscopesMedium Performance Gyroscopes
Medium Performance Gyroscopes
Premier Farnell
 
Hardware trojan detection technique using side channel analysis for hardware ...
Hardware trojan detection technique using side channel analysis for hardware ...Hardware trojan detection technique using side channel analysis for hardware ...
Hardware trojan detection technique using side channel analysis for hardware ...
Ashish Maurya
 
Uart VHDL RTL design tutorial
Uart VHDL RTL design tutorialUart VHDL RTL design tutorial
Uart VHDL RTL design tutorial
Nabil Chouba
 
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT KharagpurSneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
Priyanka Aash
 
FSEC 2014 - I can haz your board with JTAG
FSEC 2014 - I can haz your board with JTAGFSEC 2014 - I can haz your board with JTAG
FSEC 2014 - I can haz your board with JTAG
Dobrica Pavlinušić
 

What's hot (20)

Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
 
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC [DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
[DCG 25] Александр Большев - Never Trust Your Inputs or How To Fool an ADC
 
Never Trust Your Inputs
Never Trust Your InputsNever Trust Your Inputs
Never Trust Your Inputs
 
Jtag
JtagJtag
Jtag
 
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuits
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
Wearable 1.78 inch Square AMOLED Display 368*448 For Smart Watch Bracelet Scr...
 
Hardware Trojan detection using Clock sweeping method
Hardware Trojan detection using Clock sweeping methodHardware Trojan detection using Clock sweeping method
Hardware Trojan detection using Clock sweeping method
 
Hardware Trojans
Hardware TrojansHardware Trojans
Hardware Trojans
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
 
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGADesign, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
Design, Implementation and Security Analysis of Hardware Trojan Threats in FPGA
 
Hardware Trojans By - Anupam Tiwari
Hardware Trojans By - Anupam TiwariHardware Trojans By - Anupam Tiwari
Hardware Trojans By - Anupam Tiwari
 
trojan detection
trojan detectiontrojan detection
trojan detection
 
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
Sony 0.71 inch AMOLED 1920x1080 OLED Display HD-MI Board Available USB Driver...
 
Medium Performance Gyroscopes
Medium Performance GyroscopesMedium Performance Gyroscopes
Medium Performance Gyroscopes
 
Hardware trojan detection technique using side channel analysis for hardware ...
Hardware trojan detection technique using side channel analysis for hardware ...Hardware trojan detection technique using side channel analysis for hardware ...
Hardware trojan detection technique using side channel analysis for hardware ...
 
Uart VHDL RTL design tutorial
Uart VHDL RTL design tutorialUart VHDL RTL design tutorial
Uart VHDL RTL design tutorial
 
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT KharagpurSneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
Sneak Peek into the Future with Prof. Indranil Sengupta, IIT Kharagpur
 
FSEC 2014 - I can haz your board with JTAG
FSEC 2014 - I can haz your board with JTAGFSEC 2014 - I can haz your board with JTAG
FSEC 2014 - I can haz your board with JTAG
 

Similar to Boundary scan for support engineers and technicians

UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
Interlatin
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
iosrjce
 
H010613642
H010613642H010613642
H010613642
IOSR Journals
 
Automotive Days 2015 by InterLatin &
Automotive Days 2015 by InterLatin & Automotive Days 2015 by InterLatin &
Automotive Days 2015 by InterLatin &
Interlatin
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
coolbusinessman
 
Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3
Adam McConnell
 
OTDR HSV600 User Training.pptx
OTDR HSV600 User Training.pptxOTDR HSV600 User Training.pptx
OTDR HSV600 User Training.pptx
Natalie Felicerta
 
Master Serial Killer - DEF CON 22 - ICS Village
Master Serial Killer - DEF CON 22 - ICS VillageMaster Serial Killer - DEF CON 22 - ICS Village
Master Serial Killer - DEF CON 22 - ICS Village
Chris Sistrunk
 
Compromising Industrial Facilities From 40 Miles Away
Compromising Industrial Facilities From 40 Miles AwayCompromising Industrial Facilities From 40 Miles Away
Compromising Industrial Facilities From 40 Miles Away
EnergySec
 
DIGITAL IC TESTER USING UNIVERSAL GATES.pptx
DIGITAL IC TESTER USING UNIVERSAL GATES.pptxDIGITAL IC TESTER USING UNIVERSAL GATES.pptx
DIGITAL IC TESTER USING UNIVERSAL GATES.pptx
Ashish Sadavarti
 
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
HION IT
 
Intro to IO-Link
Intro to IO-LinkIntro to IO-Link
Intro to IO-Link
Neil Farrow, P.E.
 
OTDR Testing
OTDR TestingOTDR Testing
OTDR Testing
عمر خليل
 
Fiberotdrtesting 121227042919-phpapp03
Fiberotdrtesting 121227042919-phpapp03Fiberotdrtesting 121227042919-phpapp03
Fiberotdrtesting 121227042919-phpapp03
Luis Santos
 
Expl sw chapter_03_vla_ns_part_ii
Expl sw chapter_03_vla_ns_part_iiExpl sw chapter_03_vla_ns_part_ii
Expl sw chapter_03_vla_ns_part_ii
aghacrom
 
EMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICSEMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICS
RANAALIMAJEEDRAJPUT
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
Nabil Chouba
 
IRJET- Arduino based Single Phase Fault Detection System using IoT
IRJET- Arduino based Single Phase Fault Detection System using IoTIRJET- Arduino based Single Phase Fault Detection System using IoT
IRJET- Arduino based Single Phase Fault Detection System using IoT
IRJET Journal
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
IRJET Journal
 
Modern devices with spread spectrum application opportunities for wireless co...
Modern devices with spread spectrum application opportunities for wireless co...Modern devices with spread spectrum application opportunities for wireless co...
Modern devices with spread spectrum application opportunities for wireless co...
Олександр Мазуренко
 

Similar to Boundary scan for support engineers and technicians (20)

UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
H010613642
H010613642H010613642
H010613642
 
Automotive Days 2015 by InterLatin &
Automotive Days 2015 by InterLatin & Automotive Days 2015 by InterLatin &
Automotive Days 2015 by InterLatin &
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 
Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3
 
OTDR HSV600 User Training.pptx
OTDR HSV600 User Training.pptxOTDR HSV600 User Training.pptx
OTDR HSV600 User Training.pptx
 
Master Serial Killer - DEF CON 22 - ICS Village
Master Serial Killer - DEF CON 22 - ICS VillageMaster Serial Killer - DEF CON 22 - ICS Village
Master Serial Killer - DEF CON 22 - ICS Village
 
Compromising Industrial Facilities From 40 Miles Away
Compromising Industrial Facilities From 40 Miles AwayCompromising Industrial Facilities From 40 Miles Away
Compromising Industrial Facilities From 40 Miles Away
 
DIGITAL IC TESTER USING UNIVERSAL GATES.pptx
DIGITAL IC TESTER USING UNIVERSAL GATES.pptxDIGITAL IC TESTER USING UNIVERSAL GATES.pptx
DIGITAL IC TESTER USING UNIVERSAL GATES.pptx
 
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
데이타로직 Datalogic DS6300 1D 산업용 고정식 바코드스캐너 레이저스캐너 매뉴얼
 
Intro to IO-Link
Intro to IO-LinkIntro to IO-Link
Intro to IO-Link
 
OTDR Testing
OTDR TestingOTDR Testing
OTDR Testing
 
Fiberotdrtesting 121227042919-phpapp03
Fiberotdrtesting 121227042919-phpapp03Fiberotdrtesting 121227042919-phpapp03
Fiberotdrtesting 121227042919-phpapp03
 
Expl sw chapter_03_vla_ns_part_ii
Expl sw chapter_03_vla_ns_part_iiExpl sw chapter_03_vla_ns_part_ii
Expl sw chapter_03_vla_ns_part_ii
 
EMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICSEMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICS
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
IRJET- Arduino based Single Phase Fault Detection System using IoT
IRJET- Arduino based Single Phase Fault Detection System using IoTIRJET- Arduino based Single Phase Fault Detection System using IoT
IRJET- Arduino based Single Phase Fault Detection System using IoT
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
 
Modern devices with spread spectrum application opportunities for wireless co...
Modern devices with spread spectrum application opportunities for wireless co...Modern devices with spread spectrum application opportunities for wireless co...
Modern devices with spread spectrum application opportunities for wireless co...
 

More from Interlatin

In-line fixture design and release to production
In-line fixture design and release to production In-line fixture design and release to production
In-line fixture design and release to production
Interlatin
 
Keysight i3070 (antes HP3070) Board Test Interface
Keysight i3070 (antes HP3070) Board Test InterfaceKeysight i3070 (antes HP3070) Board Test Interface
Keysight i3070 (antes HP3070) Board Test Interface
Interlatin
 
Análisis de respuesta en frecuencia en osciloscopios
Análisis de respuesta en frecuencia en osciloscopiosAnálisis de respuesta en frecuencia en osciloscopios
Análisis de respuesta en frecuencia en osciloscopios
Interlatin
 
Presentación de ingeniería digital
Presentación de ingeniería digitalPresentación de ingeniería digital
Presentación de ingeniería digital
Interlatin
 
Inter latin ptc i-iot - viaje de transformación de la manufactura
Inter latin ptc i-iot - viaje de transformación de la manufacturaInter latin ptc i-iot - viaje de transformación de la manufactura
Inter latin ptc i-iot - viaje de transformación de la manufactura
Interlatin
 
Elige la fuente de alimentación adecuada para tus aplicaciones
Elige la fuente de alimentación adecuada para tus aplicacionesElige la fuente de alimentación adecuada para tus aplicaciones
Elige la fuente de alimentación adecuada para tus aplicaciones
Interlatin
 
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
Interlatin
 
Entrenamiento TestExec 8.1
Entrenamiento TestExec 8.1Entrenamiento TestExec 8.1
Entrenamiento TestExec 8.1
Interlatin
 
Introducción a las Redes automotrices - CAN/LIN
Introducción a las Redes automotrices - CAN/LINIntroducción a las Redes automotrices - CAN/LIN
Introducción a las Redes automotrices - CAN/LIN
Interlatin
 
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
Interlatin
 
UGM 2015 Automotive Days
UGM 2015 Automotive DaysUGM 2015 Automotive Days
UGM 2015 Automotive Days
Interlatin
 
UGM CAN PXI
UGM CAN PXIUGM CAN PXI
UGM CAN PXI
Interlatin
 
UGM 2015 Manager's Track
UGM 2015 Manager's TrackUGM 2015 Manager's Track
UGM 2015 Manager's Track
Interlatin
 
Interpretación y utilidad de datos estadísticos.
Interpretación y utilidad de datos estadísticos.Interpretación y utilidad de datos estadísticos.
Interpretación y utilidad de datos estadísticos.
Interlatin
 
Best practices
Best practicesBest practices
Best practices
Interlatin
 
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
Interlatin
 
Respuestas de la trivia del mes de julio. ¡Gracias por participar!
Respuestas de la trivia del mes de julio. ¡Gracias por participar!Respuestas de la trivia del mes de julio. ¡Gracias por participar!
Respuestas de la trivia del mes de julio. ¡Gracias por participar!
Interlatin
 
TMC: resultado de las
TMC: resultado de las TMC: resultado de las
TMC: resultado de las
Interlatin
 
TestExec SL 7.1
TestExec SL 7.1TestExec SL 7.1
TestExec SL 7.1
Interlatin
 
IVI-COM Action
IVI-COM ActionIVI-COM Action
IVI-COM Action
Interlatin
 

More from Interlatin (20)

In-line fixture design and release to production
In-line fixture design and release to production In-line fixture design and release to production
In-line fixture design and release to production
 
Keysight i3070 (antes HP3070) Board Test Interface
Keysight i3070 (antes HP3070) Board Test InterfaceKeysight i3070 (antes HP3070) Board Test Interface
Keysight i3070 (antes HP3070) Board Test Interface
 
Análisis de respuesta en frecuencia en osciloscopios
Análisis de respuesta en frecuencia en osciloscopiosAnálisis de respuesta en frecuencia en osciloscopios
Análisis de respuesta en frecuencia en osciloscopios
 
Presentación de ingeniería digital
Presentación de ingeniería digitalPresentación de ingeniería digital
Presentación de ingeniería digital
 
Inter latin ptc i-iot - viaje de transformación de la manufactura
Inter latin ptc i-iot - viaje de transformación de la manufacturaInter latin ptc i-iot - viaje de transformación de la manufactura
Inter latin ptc i-iot - viaje de transformación de la manufactura
 
Elige la fuente de alimentación adecuada para tus aplicaciones
Elige la fuente de alimentación adecuada para tus aplicacionesElige la fuente de alimentación adecuada para tus aplicaciones
Elige la fuente de alimentación adecuada para tus aplicaciones
 
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
Sistemas de adquisición de datos en las Fábricas Inteligentes de la Industria...
 
Entrenamiento TestExec 8.1
Entrenamiento TestExec 8.1Entrenamiento TestExec 8.1
Entrenamiento TestExec 8.1
 
Introducción a las Redes automotrices - CAN/LIN
Introducción a las Redes automotrices - CAN/LINIntroducción a las Redes automotrices - CAN/LIN
Introducción a las Redes automotrices - CAN/LIN
 
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
Pruebas de Campo para la industria de las Telecomunicaciones - Caracterizació...
 
UGM 2015 Automotive Days
UGM 2015 Automotive DaysUGM 2015 Automotive Days
UGM 2015 Automotive Days
 
UGM CAN PXI
UGM CAN PXIUGM CAN PXI
UGM CAN PXI
 
UGM 2015 Manager's Track
UGM 2015 Manager's TrackUGM 2015 Manager's Track
UGM 2015 Manager's Track
 
Interpretación y utilidad de datos estadísticos.
Interpretación y utilidad de datos estadísticos.Interpretación y utilidad de datos estadísticos.
Interpretación y utilidad de datos estadísticos.
 
Best practices
Best practicesBest practices
Best practices
 
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
Introduccion a la Interferencia Electromagnetica y Compatibilidad Electromagn...
 
Respuestas de la trivia del mes de julio. ¡Gracias por participar!
Respuestas de la trivia del mes de julio. ¡Gracias por participar!Respuestas de la trivia del mes de julio. ¡Gracias por participar!
Respuestas de la trivia del mes de julio. ¡Gracias por participar!
 
TMC: resultado de las
TMC: resultado de las TMC: resultado de las
TMC: resultado de las
 
TestExec SL 7.1
TestExec SL 7.1TestExec SL 7.1
TestExec SL 7.1
 
IVI-COM Action
IVI-COM ActionIVI-COM Action
IVI-COM Action
 

Recently uploaded

Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
Pixlogix Infotech
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
Aftab Hussain
 
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
Neo4j
 
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
SOFTTECHHUB
 
“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”
Claudio Di Ciccio
 
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
Speck&Tech
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
panagenda
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
Matthew Sinclair
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
IndexBug
 
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Malak Abu Hammad
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems S.M.S.A.
 
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with SlackLet's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
shyamraj55
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
Zilliz
 
Full-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalizationFull-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalization
Zilliz
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Safe Software
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
KAMESHS29
 

Recently uploaded (20)

Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
 
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
 
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
 
“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”
 
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
 
TrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc Webinar - 2024 Global Privacy Survey
TrustArc Webinar - 2024 Global Privacy Survey
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
 
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with SlackLet's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
 
Full-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalizationFull-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalization
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
 

Boundary scan for support engineers and technicians

  • 2. Index •What is bscan. •Compile bscan test. •Types of bscan tests. •ECO •Debbug/Common Failures
  • 3. What is bscan. • Boundary scan: Test technique of interconnections between IC pins as supported by IEEE Std 1149.1. • JTAG (JETAG): Joint Test Action Group/Joint European Test Action Group Refers to the group that start the standardization of Boundary scan. • IEEE 1149.1: This standard defines a test access port and boundary- scan architecture for digital integrated circuits and for the digital portions of mixed analog/digital integrated circuits.
  • 9. What is bscan. TDO U1 Core Logic TAP TDI TDO … Instruction Reg … ID Code Reg. Bypass TMS TCK U2 Core Logic TAP TDI TDO … Instruction Reg … ID Code Reg Bypass U3 Core Logic TAP TDI … Instruction Reg … ID Code Reg. Bypass TDI … U4 Core Logic TAP TDI … Instruction Reg … ID Code Reg Bypass
  • 12. PCF ! D = Driver; B = Bidirectional; R = Receiver ! DDDDBBRRRR ! ---------- "000001HHHH" "0111HLLLHL" "00......LL" ! dot means "repeat state from previous line" ! - same as "0011HLLLLL" "1ZZ000HLXX"
  • 13. sequential ! Test U1_U4 vector cycle 200n receive delay 100n assign TCK to nodes "TCK" assign TDI to nodes "TDI" assign TDO to nodes "TDO" assign TMS to nodes "TMS" family TTL !! Warning, Defaulted family inputs TCK inputs TMS inputs TDI outputs TDO pcf order default Scan is TCK, TMS, TDI, TDO !Column-to-Node Map, Nodes 1 to 4 ! unit "Scan_Test" ! Vector 1 pcf use pcf order Scan "01ZX" "11ZX" "01ZX" "11ZX" "01ZX" "11ZX" "01ZX" "11ZX" "01ZX" "11ZX"!Test-Logic-Reset "00ZX" "10ZX"!Run-Test/Idle "01ZX" "11ZX"!Select-DR-Scan "01ZX" "11ZX"!Select-IR-Scan "00ZX" "10ZX"!Capture-IR "00ZX" "10ZX"!Shift-IR
  • 14. Types of Bscan Test • Integrity • Disable • Connect • Interconnect • Silicon Nails • Cover Extended
  • 15. INTEGRITY Posibles Causas Agujas defectuosa. Voltaje no presente. Voltaje no regulado. Pines elevados. Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente. Voltaje Incorrecto message "IEEE Std 1149.1-2001 Integrity Failure" message " Device #%IC120 has failed," message " suspect device or these pins:" message " (tck) 91" message " (tms) 90" message " (tdi) 94" message " (tdo) 95" It verifies that the chain is operable, and that the scan path is intact. This is done by verifying the two least significant bits of the Instruction Register, which are captured during the IR-CAPTURE state.
  • 16. ID CODE Posibles Causas BSDL incorrecto Instrucción Erronea IC Incorrecto ECO Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente. message "IEEE Std 1149.1-2001 IDCODE failure" message "in Device #%IC603, expecting:" message " 00001010111001001001000000011101"
  • 17. DISABLE (ic603_dis.vcl) Posibles Causas BSDL incorrecto Instrucción Errónea Voltaje Incorrecto Pines elevados. Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente. When a connect test is executed, only one device is tested at a time. If other devices in the chain have bussed pins that need to be disabled in order to test a pin of the selected device, the bussed devices will be issued the HIGHZ instruction, instead of the BYPASS instruction, to allow testing of the bussed pins.
  • 18. CONNECT (ic603_connect_a) Posibles Causas HDD mas sensibles Voltaje Incorrecto Disable no colocado correctamente Unidades Programadas Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente.
  • 19. INTERCONNECT (ic120_ic603) Posibles Causas HDD mas sensibles Voltaje Incorrecto, Irregular Ground Plane Unidades Programadas Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente.
  • 20. SILICON NAILS Posibles Causas HDD mas sensibles Voltaje Incorrecto Unidades Programadas Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente. u6 HAS FAILED SILICON NAIL FAILURE DETECTED FOR TEST Failing Vector #: 522 (message follows) Silicon Nail Test failed nailed output: Vector 3 of pre-serialized test. ----------------------------------------- Opens on Output or Bidir Pins U6.6 ----------------------------------------- A limitation of Silicon Nails testing is the low, real vector application rate to the DUT. For example, running TDI with a rate of 5 MHz through a chain of over 1,000 cells results in less than a 5 kHz real vector application rate. This can be a problem if you are testing dynamic components.
  • 23. COVER EXTENDED GUIDELINES • Minimizing noise is the goal! • Use Agilent sensor plates (thicker) • Use snap-on ferrite on USB cable • Boundary scan tests must be 100% stable • VTEP tests must be 100% debugged • Use 07.20pd or newer software revision • Remove nailed nodes from the CET test to reduce noise (test nailed nodes w/ VTEP) • “verify all mux cards” to check VTEP, then power up CET mux card and “verify all CET cards” • If board has multiple identical connectors, retain probes for one connector to characterize the VTEP and boundary scan performance • Customize sensor plates for maximum coupling, remove unneeded copper
  • 25. ECO Cambio Conexión de Nodos Pull up, Pull down Pin queda desconectado Unidades Programadas Resistencias no pobladas. ICs en la cadena no deshabilitados correctamente. DUAL Core
  • 31.
  • 32.