SlideShare a Scribd company logo
1 of 6
Download to read offline
Branson/IPC 3000 Software Key Features
Branson/IPC 3000 Specifications*
 Wafer Size: Sample to 200mm Capability. Multiple wafer size without
hardware change
 Throughput: High Throughput. Up to 75 WPH. Process Dependent .
 Temperature: No heating function. N2 plasma can heat the substrate up
to 170 C.
 Gas Lines: Up to 5 gas lines with MFCs. Popular MFC Range: 2 SLM O2
and 1 SLM N2 .
 Asher Rate: 0-0.1u/min. positive PR; >0.2u/min. negative PR
 Uniformity: 25%. Clear all photoresist without uniformity requirement
 Particulate: <0.05 /cm2 (0.03um or greater)
 Damage: Low damage with Faraday Cage if necessary.
 Selectivity: >1000:1
 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%
uptime

Company Introduction and Main Products
ALLLWIN21 CORP.
Introduction
Equipment Key Features
Allwin21 Corp. is the exclusive licensed manufacturer of AG
Associates Heatpulse 610 Rapid Thermal Process tool. We are
manufacturing the new AccuThermo AW Series Atmospheric and Vacuum
Rapid Thermal Processors. Compared with traditional RTP systems,
Allwin21’s AccuThermo AW RTPs have innovative software and more
advanced real time temperature control technologies to achieve the BEST
rapid thermal processing performance (repeatability, uniformity, and stability)
with decades of research directly applicable to ours.
We focus on extending product lifecycle, providing solutions, and engineering
enhancements to many production proven semiconductor process equipment
most directly related to III-V processing. These semiconductor equipment
ha e een used in production and D since the 0 s hey ha e pro en
processes and research. Allwin21 Corp. can customize these systems with
All in2 s compara le inte rated process control system ith PC, solid
robotic wafer transfer system, and new critical components. This is to
achieve the goal of giving our customers a production edge, with right cost,
and without having to worry about obsolete parts.
Allwin21 Corp. was formed in 2000 with a focus on professionally providing
Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE,
Sputter Deposition and Metal Film Metrology high-tech semiconductor
equipment, services and technical support in Semiconductor III-V, MEMS,
Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor
to be a leader in our product lines. To achieve this, we have been providing
unique innovative and cost-effective technical solutions, high quality
equipment, and on time spare parts delivery worldwide. We have
maintained a global presence that has grown and expanded into the major
high-tech manufacturing areas of the world. We pride ourselves on
developing and continuing lasting customer relationships.
We understand that a timely responsive support and service are critical
elements in semiconductor industries. Allwin21’s experienced engineer team
is the best guarantee for high quality service and support. We provide
on-site installation, training, maintenance, system optimization, retrofits,
and/or customized upgrades
Allwin21 Overview
What sets us apart from the competition…
1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates.
2) Advanced Allwin21 Real Time PC Control Technology.
3) Focus on Production-Proven process technology.
4) Integrated 3-axis solid robotic wafer transfer technology.
5) Experienced local engineer support.
6) Products made in U.S.A
Plasma Asher, Plasma Descum, Dry Clean,
Semiconductor Equipment, Used
Semiconductor Equipment, Semiconductor
Process Equipment, atri 0 , atri 20 ,
atri 303, atri 403, atri 0 , atri
04, atri 02, atri 0 , atri 0
Plasma Asher, Plasma Descum, Dry Clean,
Semiconductor Equipment, Used
Semiconductor Equipment, Semiconductor
Process Equipment, Branson/IPC 2000,
Branson/IPC 3000, Branson/IPC 4000,
Barrel Asher, Barrel Etch, Barrel Etcher
Plasma Asher, Plasma Descum, Dry Clean,
Semiconductor Equipment, Used
Semiconductor Equipment, Semiconductor
Process Equipment, asonics Aura 000,
asonics Aura 2000, asonics Aura 3000,
asonics 3 0, asonics Aura 30 0
Plasma Etcher, Please Etchin , Dry
Etchin , Dry Clean, Semiconductor
Equipment, Used Semiconductor
Equipment, Semiconductor Process
Equipment, e al 0 e, e al 03e, e al
0 e , e al
Plasma Etcher, Please Etchin , Dry
Etchin , Dry Clean, Semiconductor
Equipment, Used Semiconductor
Equipment, Semiconductor Process
Equipment, asonics AE 200 , icro a e
Etcher, icro a e Plasma Etcher,
icro a e Etch
apid hermal Process, apid hermal
Processin , apid hermal Anneal, apid
hermal Annealin , apid hermal
idation, apid hermal itride, A,
P, , ,, Semiconductor
Equipment, Used Semiconductor
Equipment, Semiconductor Process
Equipment, A 2 0, A 3 0, A 4 0,
A 0, A 0I, A Associates,
eatpulse 2 0, eatpulse 4 0, inipulse
3 0, eatpulse 0, eatpulse 0I, A
eatpulse 4 0, A eatpulse 0, A
eatpulse 2 0, A inipulse 3 0,
eatpulse 4 00, eatpulse 4 0 ,
eatpulse 0 , eatpulse 00,
Atmospheric apid hermal Process,
acuum apid hermal Process, urnace,
en, hermal urnace, hermal Process,
hermal Processin
1) Rapid Thermal Process
• AccuThermo AW 610M
• AccuThermo AW 820M
• AccuThermo AW 820V
• AccuThermo AW820R
2) Sputter Deposition
• AccuSputter AW 4450
3) Plasma Asher Descum
• AW-105R
• AW-1008
• AW-B3000
4) Plasma Etch/RIE
• AW-901eR
• AW-903eR
• AW-2001R
5) Upgraded Kit for:
• Heatpulse 210,310,410,610
• Matrix X0X
• Tegal 90Xe
• Gasonics Aura 1000/2000LL/3000/3010
• Gasonics AE 2001/2000LL
• Gasonics L3510/L3500
• Perkin-Elmer 24XX,4XXX Sputter
• MRC 6XX, 9XX Sputer
• TES 6XX,9XX Sputter
• Branson/IPC 3000/2000/4000
• Lam AutoEtch 490/590/69
• Lam Rainbow 4XXX Series
6) Sheet Resistance Measurement
• AWgage-150
• AWgage-200
Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com
All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
Plasma Etcher/RIE
Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com
All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
Introduction
Allwin21 Corp. has been focusing on providing solutions and enhancements to plasma Etch RIE semiconductor process equipment. These OEM Etch
RIE semiconductor equipment have been used in productions and R&D since 1990′s. They have been Process-Proven. Allwin21 Corp. can customize
these OEM systems with Allwin21′s comparable integrated process control system with PC, solid 3-axis robotic wafer transfer system, and new critical
components to achieve the goal of giving our customers a production edge.
Introduction
Plasma Etcher/RIE Key Features Plasma Etcher/RIE Software Key Features
o Real time graphics display, process data acquisition, and analysis.
o Closed-loop process parameters control.
o Precise parameters profiles tailored to suit specific process
requirements.
o Programmable comprehensive calibration of all subsystems from within
the software. This allows faster, easier calibration, leading to enhanced
process results.
o
o
Recipe creation to ensure process repeatability. It features a recipe
editor to create and edit recipes to fully automate the processing of
wafers inside the process chamber.
Validation of the recipe so improper control sequences will be revealed.
o Storage of multiple recipes, process data, and calibration files so that
process & calibration results can be maintained or compared over time.
o Passwords provide security for the system, recipe editing, diagnostics,
calibration, and setup functions.
o Simple and easy to use menu screen which allow a process cycle to be
easily defined and executed.
o Troubleshooting features which allows engineers and service personnel
to activate individual subassemblies and functions. More I/O and AD/
DA “exposure”.
o DB-25F parallel (printer) port. The computer interfaces to the Allwin21
system with only one cable: the control interface cable.
o The control board inside the machine that translates the computer
commands to control the machine has a watchdog timer. If this board
loses communication with the control software, it will shut down all
processes and halt the system until communication is restored.
o GEM/SECS II function (Optional).
o Advanced Allwin21 End of Process (EOP) function (Optional)
Applications
AW-901eR AW 903eR AW-2001R
Production-proven Reactor
Polysilicon Etch
Nitride Etch
Silicon Nitride Etch
Silicides Etch
Silicon Dioxide Etch
Polyimide Etch
Polyimide ILD Etch
LDD Spacer Etch
BCB Etch
Zero Layer Etch
Backside Etch
Pad Etch
Passivation Etch
Oxide/Contact/Via Etch
(Down to 0.8um)
Titanium/Tantalum Alloy
Resist/SOG Planarization
Descum
Production-proven plasma etching system.
Frontside and backside isotropic and anisotropic etch.
75mm-150mm wafer capability.
Integrated solid robotic wafer handling. Single wafer process. Fixed
Cassette station and wafer aligner/cooling station.
Can handle 50um thickness wafer.
PC controller with Advanced Allwin21 Software.
Up to 4 gas lines with MFC’s.
Touch screen GUI.
EMO, Interlocks, and Watchdog function.
GEM/SECS II (Optional)
Small Footprint
Made in U.S.A.
Typical Processes
Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10, System One Stripper, Model 105, System One Etcher, model 303, model 403,Matrix 1107, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Gasonics AE 2001, Gasonics AE 2000LL,Plasma Asher Equipment, plasma Descum equipment, Dry asher equipment, Dry clean equipment, dry descum equipment, semiconductor process equipment, semiconductor equipment, used semiconductor equipment, front end equipment , 等离子去胶机, 自动去胶机,手动去胶机, 干法去胶机,湿法去胶机,等離子去膠機,自動去膠機,手動去膠機,乾式去膠機,濕式去膠機,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備
Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Tegal 703,Tegal 801,Tegal 803,Tegal 981e,Tegal 903e,Tegal 915, Tegal 965, Tegal 405, Tegal 401,Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rainbow 4400, Lam Rainbow 4420, Lam Rainbow 4428, Lam Rainbow 4500, Lam Rainbow 4520, Lam Rainbow 4528, Lam Rainbow 4600, Lam Rainbow 4620, Lam Rainbow 4628, Lam Rainbow 4700, Lam Rainbow 4720, Lam Rainbow 4728, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch, Downstream Plasma Etch,Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, semiconductor process equipment, semiconductor equipment, used semiconductor equipment, front end equipment ,等离子刻蚀,深刻蚀,各向同性,各向异性,等离子清洗,溅射台,蒸发台,磁控溅射台,直流电源溅射,高频溅射,自动刻蚀机,手动刻蚀机,干法刻蚀机,湿法刻蚀机,半导体量测仪器,半导体量测设备,等離子蝕刻,深刻蝕,各向同性,各向異性,等離子清洗,濺射台,蒸發台,磁控濺射台,直流電源濺射,高頻濺射,自動蝕刻機,手動蝕刻機,乾法蝕刻機,濕蝕刻機, ,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備,Lam Research
Plasma Etcher/RIE
ALLLWIN21 CORP.
AW-901eR & AW-903eR
The AW-901eR & AW-903eR single-wafer dry etchers are automated tools
designed as a flexible 13.56MHz RF Parallel Plate plasma etching systems
for high-volume wafer fabrication. AW-901eR & AW-903eR are in direct
response to manufacturer’s concerns for wafer breakage, Uniformity,
Uptime, Reliability, and Production-Proven technology.
Int
Intr
rod
odu
uct
cti
io
on
n AW-901eR, AW-903eR Configuration
Main Frame, Standard
Pentium Class PC with AW Software
Keyboard, Mouse, USB with SW backup, and Cables
Chuck ① 3”; ② 4”; ③ 5”; ④ 6”
Wafer Aligner/Cooling Station
3-Axis Integrated Solid Robot
① H-Zero (Standard); ② H1-7X10.5 (TTW)
Fixed Cassette Station
Chuck Assembly
① 901eR Non-anodized; ② 903eR Anodized /W Flat
③ 903eR Anodized /wo Flat ④ 903eR Non-anodized /W
Flat
Reactor Assembly
① 901eR Non-anodized; ② 903eR Anodized
⑤ Direct Cooling;
Pins
③ 903eR Non-anodized; ④ 903eR High Performance
⑥ Non-Direct Cooling
④ Quartz; ② Ceramic; ③ SST
Centering Ring
① MKS Elite:300HD;
① Aluminum; ② Quartz; ③ Ceramic
Main Control Board
Gas Box /w 4 inline Gas Lines, MFC, filters, and valves
RF Matching Network with PCB
13.56 MHz RF Generator (Air or Water Cooled)
② MKS Elite:600HD
② MKS Elite:1000HD; ④ E
NI ACG 3; ⑤ E
NI ACG 10
AC/DC Box
ATM Sensor
UPC Pressure Control
① 225 SCCM,901eR; ② 2000 SCCM, 903eR
MKS Baratron with Pneumatic Isolation Valve
Main Vacuum Valves
Front EMO, Interlocks
15-inch Touch Screen or 17 inch LCD,GUI
AW-901eR, AW-903eR Specifications
 Up to 6 inch Capability
 Throughput: 30-60 WPH, Process Dependent
 Temperature: 6-65ºC (±2 ºC) capability
 Gas Lines: 4 gas lines with MFCs.
 Etcher Rate: AW-901eR: 0-8000A/minute; AW-903eR:
0-4000A/minute, Process Dependent
 Uniformity: Up to ±3%, Process Dependent
 Particulate: <0.05 /cm2 (0.3um or greater)
AW-903eR: 2-20:1, Process
 Selectivity: 901eR: 2-20:1 ; Dependent
 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%uptime
 EOP Module with PCB
 GEM/SECS II function (Software)
 Lamp tower alarm with buzzer
 Throttle Valve Pressure Control
 Vacuum Pump
 Chiller for chuck and chamber
 Through The Wall
Options
H1-7
H-Zero
Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com
All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
RFQ for Fast Free Quotation
Plasma Etcher
ALLLWIN21 CORP.
AW-2001R
The AW-2001R single-wafer Etcher is an automated tool designed as
a flexible downstream Microwave system for high-volume wafer
fabrication. AW-2001R is in direct response to manufacturer’s concerns
for wafer damage, uniformity, uptime, reliability and production-proven
technology.
Int
Intr
rod
odu
uct
cti
io
on
n
2.45GHz Microwave Plasma Etch for low plasma damage
AW-2001R Configuration
 Main Frame with Breakers, Relays and Wires
 Pentium Class PC with AW Software
 Keyboard, Mouse, USS with SW backup and Cables
 Fixed Cassette Stations:
1) 1 Two Cassette Stations, or
2) One Cassette Station / One Centering/Alignment Station
 Door Assembly
 Metal Shower head
 "Extended' Alumina Plasma Tube for better Uniformity.
 Orifice, Gas Cap
 Chamber Body and Top Plate
 Main Control , Distributor PCB and DC
 H1 -7X10.5 Integrated 3-Axls Solid Robot
 Water-Cooled Magnetron and Waveguide
 Water-Cooled 1000W Magnetron/Waveguide with an AGL
2.45GHz Microwave Power Generator
 4 Isolated Gas Lines with Pneumatic Valves and MFC
 AC Box
 Main & Slow Vacuum Valves
 MKS Baratron
 Throttle Valve
 Front EMO, Interlocks
 15-rnch Touch Screen GUI
AW-2001R Specifications*
 Wafer Size: 2, 3, 4, 5, 6 inch Capability.
 Chuck Temperature: 60-110ºC (±2 ºC)
 Gases: NF3 CF4 HE O2
 Uniformity:
 100mm : ± 3% (5% 3 sigma) *
 150mm : ± 5% (8% 3 sigma) *
 *max.- min. /2 x average
 Reproducibility (w-t-w): 10% 3 sigma
 Particulate: 0.05p/cm2 > 0.3µm
 NO DAMAGE: ≤0.1 Volt CV-shift
* Contact Allwin21 sales for other applications and specifications
Options
 GEM/SECS II function (Software)
 Light Tower
 Vacuum Pump
Integrated Robust Solid Robot
Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com
All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
RFQ for Fast Free Quotation
Plasma Etcher
ALLLWIN21 CORP.
Introduction
E-mail: sales@allwin21.com Website: www.allwin21.com
AW-303R
The AW-303R is an electromechanical production system used to etch materials such as nitride, oxide,
polysilicon,etc. from the surface of silicon or other substrates. Each wafer is processed individually by means of a
chemical reaction induced by a gas plasma.The AW-303R is an automated single wafer tool designed as a
flexible 13.56MHz RF downstream plasma etch system for high-volume wafer fabrication. The AW-303R is
in direct response to manufacturer’s concerns for wafer uniformity, uptime, reliability and production-proven
technology.
AW-303R Key Features
Production-proven plasma etcher system. Integrated solid
robotic wafer handling, Single wafer process. High selectivity
to PR(> 10: 1 Oxi.de:PR)
Frontside and backside isotropic removal.
Consistent wafer-to-wafer process cycle repeatability.
Temperature control from 20 to 120o
C.
50mm-150mm wafer capability. Up to 6.25” substrate.
Up to 4 wafer size capability without hardware change.
Fixed cassette station and wafer aligner/cooling station.
Can handle 50um thickness wafer.
PC controller with Advanced Allwin21 Software.
Up to 4 gas lines with MFC.
Air-Cooled 600W MKS 13.56 MHz RF Generator (300W Option).
Pressure control with Throttle Valve.
15-inch Touch screen monitor GUI.
EMO, Interlocks, and Watchdog function.
GEM/SECS II (optional).
Small Footprint: 27”W x 40”D x 59”H (280LBs)
Made in U.S.A.
AW-303R Applications
Isotropic Oxide Etch for Contacts and Vias
Silicon ARC Removal
Passivation Etch Oxynitride
Passivation Etch Nitride
Backside Poly Etch
Backside Nitride Etch
LPCVD Nitride Etch (LOCOS)
ASM Alignment Mark Etch
SiChrome Etch
Production-proven Reactor
Integrated Robust Solid Robot
Introduction
RFQ for Fast Free Quotation
AW-303R Software Key Features
o Real time graphics display, process data acquisition, and analysis.
o Closed-loop process parameters control.
o Precise parameters profiles tailored to suit specific process
requirements.
o Programmable comprehensive calibration of all subsystems from within
the software. This allows faster, easier calibration, leading to enhanced
process results.
o Recipe creation to ensure process repeatability. It features a recipe
editor to create and edit recipes to fully automate the processing of
wafers inside the process chamber.
o Validation of the recipe so improper control sequences will be revealed.
o Storage of multiple recipes, process data, and calibration files so that
process & calibration results can be maintained or compared over time.
o Passwords provide security for the system, recipe editing, diagnostics,
calibration, and setup functions.
o Simple and easy to use menu screen which allow a process cycle to be
easily defined and executed.
o Troubleshooting features which allows engineers and service personnel
to activate individual subassemblies and functions. More I/O and AD/DA
“exposure”.
o DB-25F parallel (printer) port. The computer interfaces to the Allwin21
system with only one cable: the control interface cable.
o The control board inside the machine that translates the computer
commands to control the machine has a watchdog timer. If this board
loses communication with the control software, it will shut down all
processes and halt the system until communication is restored.
o GEM/SECS II function (Optional).
AW-303R Specifications*
 Wafer Size: Up to 6.25 inch.
 Temperature: 20-120ºC (±2ºC)
 Gas Lines: Up to four gas lines with MFCs.
 >2000 A/min. Thermo Oxide,>16000A/min.LPCVD Nitride
 Uniformity: <±3~5%
 Particulate: <0.15 /cm2 (0.3um or greater)
 Damage: CV:<0.1V from control; Mobile Ion:<1-2 E10 ; Vt :0% total
shift on 98% of points tested no shift >5%
 Selectivity: >10:1(Oxide:PR, SiN:Oxide)
 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better.
 95% uptime
*Contact Allwin21 sales for other applications and specifications
AW-303R Configuration
Main Frame with Circuit Breakers, Solenoid Valves
Pentium Class PC with AW Software
Keyboard, Mouse, USB SW backup, and Cables
Chuck /w Heat, Pump Ring ,Lift Pins
① 2-4 inch; ② 2-6 inch; ③ 4-6 inch; ④ 6.125 inch; ⑤ 6.25 inch
Center Aligner and Cassette Station
① Two Dimensions ② Four Dimensions
Non-Anodized Reactor with Door
Chamber Base plate with water sensor
Reactor Ceramic Ring
Metal buffles
Upper Electrodes
Metal showerhead & Diffusion Disk
Main Control and Distribution PCBs
3-axis Integrated Robust Solid Robot RF
Matching Network with PCBs
13.56MHz RF Generator
① 300W ② 600W
MFC /w In-line Filter and Solenoid Isolation Valve
① One MFC; ② T
wo MFCs; ③ T
hree MFCs; ④ Four MFCs
AC/DC Box and Temperature Controller
MKS Baratron with Isolation Valve
Lamp Tower Alarm w/ Buzzer
Throttle Valve
Main Vacuum Valve
Front EMO, Interlocks
15-inch Touch Screen GUI
Options:
 GEM/SECS II (Software)
 Vacuum Pump
 Chiller for Chamber Base Plate
AW-303R Facilities
Plumbed Process Gases
Cooling water: 1GPM house circulating supply @ <23 ± 2°C
Facility Exhaust: 100 CFM @ 1” static pressure
Vacuum supply for Robot: 11.8”Hg(-5.8psi) / 0.1CFM
airflow
Power: 190-240VAC, single phase, 30A, 50/60Hz (NEMA
L-6-30P plug supplied)
Main Menu Screen
ALLLWIN21 CORP.
Plasma Ether
Allwin21 Corp.
Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
Tel.: +1-408-778-7788 Fax: +1-408-904-7168
Email: sales@allwin21.com
All specification and information here are
subject to change without notice and cannot
be used for purchase and facility plan.

More Related Content

Similar to Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf

AceCo Precision Mfg General Info
AceCo Precision Mfg General InfoAceCo Precision Mfg General Info
AceCo Precision Mfg General Info
jasonwendland
 
Plasma Asher Plasma Descum AW-105R
Plasma Asher Plasma Descum AW-105RPlasma Asher Plasma Descum AW-105R
Plasma Asher Plasma Descum AW-105R
Peter Chen
 
Setpoint Process Automation Overview
Setpoint Process Automation OverviewSetpoint Process Automation Overview
Setpoint Process Automation Overview
Jonathan Hoy
 

Similar to Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf (20)

Market Insights by CORIAL
Market Insights by CORIALMarket Insights by CORIAL
Market Insights by CORIAL
 
Corporate portfolio 2015
Corporate portfolio 2015Corporate portfolio 2015
Corporate portfolio 2015
 
AceCo Precision Mfg General Info
AceCo Precision Mfg General InfoAceCo Precision Mfg General Info
AceCo Precision Mfg General Info
 
Allwin21 corp and main products 2015
Allwin21 corp and main products 2015Allwin21 corp and main products 2015
Allwin21 corp and main products 2015
 
Fillunger All Product Booklet 2014
Fillunger All Product Booklet 2014Fillunger All Product Booklet 2014
Fillunger All Product Booklet 2014
 
Traxon Cove Light AC HO RGBW Specification
Traxon Cove Light AC HO RGBW SpecificationTraxon Cove Light AC HO RGBW Specification
Traxon Cove Light AC HO RGBW Specification
 
Product_Guide
Product_GuideProduct_Guide
Product_Guide
 
Industrial Automation Company In India | Electrical Automation Company in Ind...
Industrial Automation Company In India | Electrical Automation Company in Ind...Industrial Automation Company In India | Electrical Automation Company in Ind...
Industrial Automation Company In India | Electrical Automation Company in Ind...
 
Contract Manufacturing Location India -ECDS
Contract Manufacturing Location India -ECDSContract Manufacturing Location India -ECDS
Contract Manufacturing Location India -ECDS
 
Judco Manufacturing
Judco ManufacturingJudco Manufacturing
Judco Manufacturing
 
SYSTEMS SUNLIGHT S.A.: Reliable Battery Solutions for UPS & DC Power Systems ...
SYSTEMS SUNLIGHT S.A.: Reliable Battery Solutions for UPS & DC Power Systems ...SYSTEMS SUNLIGHT S.A.: Reliable Battery Solutions for UPS & DC Power Systems ...
SYSTEMS SUNLIGHT S.A.: Reliable Battery Solutions for UPS & DC Power Systems ...
 
Tech7 Automation Systems India Pvt.Ltd.Tamil Nadu India
Tech7 Automation Systems India Pvt.Ltd.Tamil Nadu IndiaTech7 Automation Systems India Pvt.Ltd.Tamil Nadu India
Tech7 Automation Systems India Pvt.Ltd.Tamil Nadu India
 
electricalcontrolpanel
electricalcontrolpanelelectricalcontrolpanel
electricalcontrolpanel
 
Jetctlog
JetctlogJetctlog
Jetctlog
 
Plasma Asher Plasma Descum AW-105R
Plasma Asher Plasma Descum AW-105RPlasma Asher Plasma Descum AW-105R
Plasma Asher Plasma Descum AW-105R
 
electrical control panel Jetctlog
electrical control panel Jetctlogelectrical control panel Jetctlog
electrical control panel Jetctlog
 
LT & HT Panel - Catalogue Art work
LT & HT Panel - Catalogue Art workLT & HT Panel - Catalogue Art work
LT & HT Panel - Catalogue Art work
 
Plasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eRPlasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eR
 
Setpoint Process Automation Overview
Setpoint Process Automation OverviewSetpoint Process Automation Overview
Setpoint Process Automation Overview
 
surabhi
surabhisurabhi
surabhi
 

More from Peter Chen

More from Peter Chen (20)

Upgrade kit for lam research lam rainbow 4420 4520 4620 4720 4400 4500 4600 4...
Upgrade kit for lam research lam rainbow 4420 4520 4620 4720 4400 4500 4600 4...Upgrade kit for lam research lam rainbow 4420 4520 4620 4720 4400 4500 4600 4...
Upgrade kit for lam research lam rainbow 4420 4520 4620 4720 4400 4500 4600 4...
 
Upgrade kit for gasonics aura 2000 ll plasma asher
Upgrade kit for gasonics aura 2000 ll plasma asherUpgrade kit for gasonics aura 2000 ll plasma asher
Upgrade kit for gasonics aura 2000 ll plasma asher
 
AW-1008 plasma microwave 2.45GHz stripper asher
AW-1008  plasma microwave 2.45GHz stripper asherAW-1008  plasma microwave 2.45GHz stripper asher
AW-1008 plasma microwave 2.45GHz stripper asher
 
Upgrade kits for branson ipc 2000 3000 4000 series manual batch asher clean
Upgrade kits for branson ipc 2000 3000 4000 series manual batch asher cleanUpgrade kits for branson ipc 2000 3000 4000 series manual batch asher clean
Upgrade kits for branson ipc 2000 3000 4000 series manual batch asher clean
 
Upgrade kit for gasonics aura 1000 plasma asher
Upgrade kit for gasonics aura 1000 plasma asherUpgrade kit for gasonics aura 1000 plasma asher
Upgrade kit for gasonics aura 1000 plasma asher
 
A wgage 150 awgage 200 thin film sheet resistance measurement instrument
A wgage 150 awgage 200 thin film sheet resistance measurement instrumentA wgage 150 awgage 200 thin film sheet resistance measurement instrument
A wgage 150 awgage 200 thin film sheet resistance measurement instrument
 
Aw b3000 plasma asher descum clean
Aw b3000 plasma asher descum cleanAw b3000 plasma asher descum clean
Aw b3000 plasma asher descum clean
 
Aw 303 r downstream plasma etcher for low plasma damage
Aw 303 r downstream plasma etcher for low plasma damageAw 303 r downstream plasma etcher for low plasma damage
Aw 303 r downstream plasma etcher for low plasma damage
 
Aw 105 r plasma asher descum clean
Aw 105 r plasma asher descum cleanAw 105 r plasma asher descum clean
Aw 105 r plasma asher descum clean
 
Aw 90xer plasma etcher rie
Aw 90xer plasma etcher rieAw 90xer plasma etcher rie
Aw 90xer plasma etcher rie
 
Accu thermo aw 610 rapid thermal processor
Accu thermo aw 610 rapid thermal processorAccu thermo aw 610 rapid thermal processor
Accu thermo aw 610 rapid thermal processor
 
Modular Process Technology MPT RTP-600xp Rapid Thermal Processing Serial# 95394
Modular Process Technology MPT RTP-600xp Rapid Thermal Processing Serial# 95394Modular Process Technology MPT RTP-600xp Rapid Thermal Processing Serial# 95394
Modular Process Technology MPT RTP-600xp Rapid Thermal Processing Serial# 95394
 
AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing
AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal AnnealingAG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing
AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing
 
Upgrade kit for used semiconductor equipment
Upgrade kit for used semiconductor equipmentUpgrade kit for used semiconductor equipment
Upgrade kit for used semiconductor equipment
 
Perkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
Perkin-Elmer 4400,4410,4450 Sputtering Deposition SystemsPerkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
Perkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
 
AccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition EquipmentAccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition Equipment
 
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time  Rapid Thermal Anneal EquipmentAccuThermo AW 820 Long Time  Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
 
AccuThermo AW 820V Vacuum Rapid Thermal Anneal Equipment
AccuThermo AW 820V Vacuum  Rapid Thermal Anneal EquipmentAccuThermo AW 820V Vacuum  Rapid Thermal Anneal Equipment
AccuThermo AW 820V Vacuum Rapid Thermal Anneal Equipment
 
AccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal EquipmentAccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal Equipment
 
AccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing EquipmentAccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing Equipment
 

Recently uploaded

Breaking Down the Flutterwave Scandal What You Need to Know.pdf
Breaking Down the Flutterwave Scandal What You Need to Know.pdfBreaking Down the Flutterwave Scandal What You Need to Know.pdf
Breaking Down the Flutterwave Scandal What You Need to Know.pdf
UK Journal
 
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
panagenda
 

Recently uploaded (20)

ECS 2024 Teams Premium - Pretty Secure
ECS 2024   Teams Premium - Pretty SecureECS 2024   Teams Premium - Pretty Secure
ECS 2024 Teams Premium - Pretty Secure
 
WSO2CONMay2024OpenSourceConferenceDebrief.pptx
WSO2CONMay2024OpenSourceConferenceDebrief.pptxWSO2CONMay2024OpenSourceConferenceDebrief.pptx
WSO2CONMay2024OpenSourceConferenceDebrief.pptx
 
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
FDO for Camera, Sensor and Networking Device – Commercial Solutions from VinC...
 
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdfThe Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
The Value of Certifying Products for FDO _ Paul at FIDO Alliance.pdf
 
Breaking Down the Flutterwave Scandal What You Need to Know.pdf
Breaking Down the Flutterwave Scandal What You Need to Know.pdfBreaking Down the Flutterwave Scandal What You Need to Know.pdf
Breaking Down the Flutterwave Scandal What You Need to Know.pdf
 
Introduction to FDO and How It works Applications _ Richard at FIDO Alliance.pdf
Introduction to FDO and How It works Applications _ Richard at FIDO Alliance.pdfIntroduction to FDO and How It works Applications _ Richard at FIDO Alliance.pdf
Introduction to FDO and How It works Applications _ Richard at FIDO Alliance.pdf
 
BT & Neo4j _ How Knowledge Graphs help BT deliver Digital Transformation.pptx
BT & Neo4j _ How Knowledge Graphs help BT deliver Digital Transformation.pptxBT & Neo4j _ How Knowledge Graphs help BT deliver Digital Transformation.pptx
BT & Neo4j _ How Knowledge Graphs help BT deliver Digital Transformation.pptx
 
PLAI - Acceleration Program for Generative A.I. Startups
PLAI - Acceleration Program for Generative A.I. StartupsPLAI - Acceleration Program for Generative A.I. Startups
PLAI - Acceleration Program for Generative A.I. Startups
 
TopCryptoSupers 12thReport OrionX May2024
TopCryptoSupers 12thReport OrionX May2024TopCryptoSupers 12thReport OrionX May2024
TopCryptoSupers 12thReport OrionX May2024
 
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
Secure Zero Touch enabled Edge compute with Dell NativeEdge via FDO _ Brad at...
 
Portal Kombat : extension du réseau de propagande russe
Portal Kombat : extension du réseau de propagande russePortal Kombat : extension du réseau de propagande russe
Portal Kombat : extension du réseau de propagande russe
 
AI presentation and introduction - Retrieval Augmented Generation RAG 101
AI presentation and introduction - Retrieval Augmented Generation RAG 101AI presentation and introduction - Retrieval Augmented Generation RAG 101
AI presentation and introduction - Retrieval Augmented Generation RAG 101
 
Easier, Faster, and More Powerful – Notes Document Properties Reimagined
Easier, Faster, and More Powerful – Notes Document Properties ReimaginedEasier, Faster, and More Powerful – Notes Document Properties Reimagined
Easier, Faster, and More Powerful – Notes Document Properties Reimagined
 
Linux Foundation Edge _ Overview of FDO Software Components _ Randy at Intel.pdf
Linux Foundation Edge _ Overview of FDO Software Components _ Randy at Intel.pdfLinux Foundation Edge _ Overview of FDO Software Components _ Randy at Intel.pdf
Linux Foundation Edge _ Overview of FDO Software Components _ Randy at Intel.pdf
 
WebAssembly is Key to Better LLM Performance
WebAssembly is Key to Better LLM PerformanceWebAssembly is Key to Better LLM Performance
WebAssembly is Key to Better LLM Performance
 
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
Easier, Faster, and More Powerful – Alles Neu macht der Mai -Wir durchleuchte...
 
Extensible Python: Robustness through Addition - PyCon 2024
Extensible Python: Robustness through Addition - PyCon 2024Extensible Python: Robustness through Addition - PyCon 2024
Extensible Python: Robustness through Addition - PyCon 2024
 
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
 
IESVE for Early Stage Design and Planning
IESVE for Early Stage Design and PlanningIESVE for Early Stage Design and Planning
IESVE for Early Stage Design and Planning
 
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
Behind the Scenes From the Manager's Chair: Decoding the Secrets of Successfu...
 

Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf

  • 1. Branson/IPC 3000 Software Key Features Branson/IPC 3000 Specifications*  Wafer Size: Sample to 200mm Capability. Multiple wafer size without hardware change  Throughput: High Throughput. Up to 75 WPH. Process Dependent .  Temperature: No heating function. N2 plasma can heat the substrate up to 170 C.  Gas Lines: Up to 5 gas lines with MFCs. Popular MFC Range: 2 SLM O2 and 1 SLM N2 .  Asher Rate: 0-0.1u/min. positive PR; >0.2u/min. negative PR  Uniformity: 25%. Clear all photoresist without uniformity requirement  Particulate: <0.05 /cm2 (0.03um or greater)  Damage: Low damage with Faraday Cage if necessary.  Selectivity: >1000:1  MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime  Company Introduction and Main Products ALLLWIN21 CORP. Introduction Equipment Key Features Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment ha e een used in production and D since the 0 s hey ha e pro en processes and research. Allwin21 Corp. can customize these systems with All in2 s compara le inte rated process control system ith PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. To achieve this, we have been providing unique innovative and cost-effective technical solutions, high quality equipment, and on time spare parts delivery worldwide. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are critical elements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades Allwin21 Overview What sets us apart from the competition… 1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. 2) Advanced Allwin21 Real Time PC Control Technology. 3) Focus on Production-Proven process technology. 4) Integrated 3-axis solid robotic wafer transfer technology. 5) Experienced local engineer support. 6) Products made in U.S.A Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, atri 0 , atri 20 , atri 303, atri 403, atri 0 , atri 04, atri 02, atri 0 , atri 0 Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, asonics Aura 000, asonics Aura 2000, asonics Aura 3000, asonics 3 0, asonics Aura 30 0 Plasma Etcher, Please Etchin , Dry Etchin , Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, e al 0 e, e al 03e, e al 0 e , e al Plasma Etcher, Please Etchin , Dry Etchin , Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, asonics AE 200 , icro a e Etcher, icro a e Plasma Etcher, icro a e Etch apid hermal Process, apid hermal Processin , apid hermal Anneal, apid hermal Annealin , apid hermal idation, apid hermal itride, A, P, , ,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, A 2 0, A 3 0, A 4 0, A 0, A 0I, A Associates, eatpulse 2 0, eatpulse 4 0, inipulse 3 0, eatpulse 0, eatpulse 0I, A eatpulse 4 0, A eatpulse 0, A eatpulse 2 0, A inipulse 3 0, eatpulse 4 00, eatpulse 4 0 , eatpulse 0 , eatpulse 00, Atmospheric apid hermal Process, acuum apid hermal Process, urnace, en, hermal urnace, hermal Process, hermal Processin 1) Rapid Thermal Process • AccuThermo AW 610M • AccuThermo AW 820M • AccuThermo AW 820V • AccuThermo AW820R 2) Sputter Deposition • AccuSputter AW 4450 3) Plasma Asher Descum • AW-105R • AW-1008 • AW-B3000 4) Plasma Etch/RIE • AW-901eR • AW-903eR • AW-2001R 5) Upgraded Kit for: • Heatpulse 210,310,410,610 • Matrix X0X • Tegal 90Xe • Gasonics Aura 1000/2000LL/3000/3010 • Gasonics AE 2001/2000LL • Gasonics L3510/L3500 • Perkin-Elmer 24XX,4XXX Sputter • MRC 6XX, 9XX Sputer • TES 6XX,9XX Sputter • Branson/IPC 3000/2000/4000 • Lam AutoEtch 490/590/69 • Lam Rainbow 4XXX Series 6) Sheet Resistance Measurement • AWgage-150 • AWgage-200 Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.
  • 2. Plasma Etcher/RIE Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A. Introduction Allwin21 Corp. has been focusing on providing solutions and enhancements to plasma Etch RIE semiconductor process equipment. These OEM Etch RIE semiconductor equipment have been used in productions and R&D since 1990′s. They have been Process-Proven. Allwin21 Corp. can customize these OEM systems with Allwin21′s comparable integrated process control system with PC, solid 3-axis robotic wafer transfer system, and new critical components to achieve the goal of giving our customers a production edge. Introduction Plasma Etcher/RIE Key Features Plasma Etcher/RIE Software Key Features o Real time graphics display, process data acquisition, and analysis. o Closed-loop process parameters control. o Precise parameters profiles tailored to suit specific process requirements. o Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results. o o Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber. Validation of the recipe so improper control sequences will be revealed. o Storage of multiple recipes, process data, and calibration files so that process & calibration results can be maintained or compared over time. o Passwords provide security for the system, recipe editing, diagnostics, calibration, and setup functions. o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed. o Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O and AD/ DA “exposure”. o DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable. o The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored. o GEM/SECS II function (Optional). o Advanced Allwin21 End of Process (EOP) function (Optional) Applications AW-901eR AW 903eR AW-2001R Production-proven Reactor Polysilicon Etch Nitride Etch Silicon Nitride Etch Silicides Etch Silicon Dioxide Etch Polyimide Etch Polyimide ILD Etch LDD Spacer Etch BCB Etch Zero Layer Etch Backside Etch Pad Etch Passivation Etch Oxide/Contact/Via Etch (Down to 0.8um) Titanium/Tantalum Alloy Resist/SOG Planarization Descum Production-proven plasma etching system. Frontside and backside isotropic and anisotropic etch. 75mm-150mm wafer capability. Integrated solid robotic wafer handling. Single wafer process. Fixed Cassette station and wafer aligner/cooling station. Can handle 50um thickness wafer. PC controller with Advanced Allwin21 Software. Up to 4 gas lines with MFC’s. Touch screen GUI. EMO, Interlocks, and Watchdog function. GEM/SECS II (Optional) Small Footprint Made in U.S.A. Typical Processes Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10, System One Stripper, Model 105, System One Etcher, model 303, model 403,Matrix 1107, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Gasonics AE 2001, Gasonics AE 2000LL,Plasma Asher Equipment, plasma Descum equipment, Dry asher equipment, Dry clean equipment, dry descum equipment, semiconductor process equipment, semiconductor equipment, used semiconductor equipment, front end equipment , 等离子去胶机, 自动去胶机,手动去胶机, 干法去胶机,湿法去胶机,等離子去膠機,自動去膠機,手動去膠機,乾式去膠機,濕式去膠機,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備 Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Tegal 703,Tegal 801,Tegal 803,Tegal 981e,Tegal 903e,Tegal 915, Tegal 965, Tegal 405, Tegal 401,Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rainbow 4400, Lam Rainbow 4420, Lam Rainbow 4428, Lam Rainbow 4500, Lam Rainbow 4520, Lam Rainbow 4528, Lam Rainbow 4600, Lam Rainbow 4620, Lam Rainbow 4628, Lam Rainbow 4700, Lam Rainbow 4720, Lam Rainbow 4728, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch, Downstream Plasma Etch,Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, semiconductor process equipment, semiconductor equipment, used semiconductor equipment, front end equipment ,等离子刻蚀,深刻蚀,各向同性,各向异性,等离子清洗,溅射台,蒸发台,磁控溅射台,直流电源溅射,高频溅射,自动刻蚀机,手动刻蚀机,干法刻蚀机,湿法刻蚀机,半导体量测仪器,半导体量测设备,等離子蝕刻,深刻蝕,各向同性,各向異性,等離子清洗,濺射台,蒸發台,磁控濺射台,直流電源濺射,高頻濺射,自動蝕刻機,手動蝕刻機,乾法蝕刻機,濕蝕刻機, ,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備,Lam Research
  • 3. Plasma Etcher/RIE ALLLWIN21 CORP. AW-901eR & AW-903eR The AW-901eR & AW-903eR single-wafer dry etchers are automated tools designed as a flexible 13.56MHz RF Parallel Plate plasma etching systems for high-volume wafer fabrication. AW-901eR & AW-903eR are in direct response to manufacturer’s concerns for wafer breakage, Uniformity, Uptime, Reliability, and Production-Proven technology. Int Intr rod odu uct cti io on n AW-901eR, AW-903eR Configuration Main Frame, Standard Pentium Class PC with AW Software Keyboard, Mouse, USB with SW backup, and Cables Chuck ① 3”; ② 4”; ③ 5”; ④ 6” Wafer Aligner/Cooling Station 3-Axis Integrated Solid Robot ① H-Zero (Standard); ② H1-7X10.5 (TTW) Fixed Cassette Station Chuck Assembly ① 901eR Non-anodized; ② 903eR Anodized /W Flat ③ 903eR Anodized /wo Flat ④ 903eR Non-anodized /W Flat Reactor Assembly ① 901eR Non-anodized; ② 903eR Anodized ⑤ Direct Cooling; Pins ③ 903eR Non-anodized; ④ 903eR High Performance ⑥ Non-Direct Cooling ④ Quartz; ② Ceramic; ③ SST Centering Ring ① MKS Elite:300HD; ① Aluminum; ② Quartz; ③ Ceramic Main Control Board Gas Box /w 4 inline Gas Lines, MFC, filters, and valves RF Matching Network with PCB 13.56 MHz RF Generator (Air or Water Cooled) ② MKS Elite:600HD ② MKS Elite:1000HD; ④ E NI ACG 3; ⑤ E NI ACG 10 AC/DC Box ATM Sensor UPC Pressure Control ① 225 SCCM,901eR; ② 2000 SCCM, 903eR MKS Baratron with Pneumatic Isolation Valve Main Vacuum Valves Front EMO, Interlocks 15-inch Touch Screen or 17 inch LCD,GUI AW-901eR, AW-903eR Specifications  Up to 6 inch Capability  Throughput: 30-60 WPH, Process Dependent  Temperature: 6-65ºC (±2 ºC) capability  Gas Lines: 4 gas lines with MFCs.  Etcher Rate: AW-901eR: 0-8000A/minute; AW-903eR: 0-4000A/minute, Process Dependent  Uniformity: Up to ±3%, Process Dependent  Particulate: <0.05 /cm2 (0.3um or greater) AW-903eR: 2-20:1, Process  Selectivity: 901eR: 2-20:1 ; Dependent  MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%uptime  EOP Module with PCB  GEM/SECS II function (Software)  Lamp tower alarm with buzzer  Throttle Valve Pressure Control  Vacuum Pump  Chiller for chuck and chamber  Through The Wall Options H1-7 H-Zero Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A. RFQ for Fast Free Quotation
  • 4. Plasma Etcher ALLLWIN21 CORP. AW-2001R The AW-2001R single-wafer Etcher is an automated tool designed as a flexible downstream Microwave system for high-volume wafer fabrication. AW-2001R is in direct response to manufacturer’s concerns for wafer damage, uniformity, uptime, reliability and production-proven technology. Int Intr rod odu uct cti io on n 2.45GHz Microwave Plasma Etch for low plasma damage AW-2001R Configuration  Main Frame with Breakers, Relays and Wires  Pentium Class PC with AW Software  Keyboard, Mouse, USS with SW backup and Cables  Fixed Cassette Stations: 1) 1 Two Cassette Stations, or 2) One Cassette Station / One Centering/Alignment Station  Door Assembly  Metal Shower head  "Extended' Alumina Plasma Tube for better Uniformity.  Orifice, Gas Cap  Chamber Body and Top Plate  Main Control , Distributor PCB and DC  H1 -7X10.5 Integrated 3-Axls Solid Robot  Water-Cooled Magnetron and Waveguide  Water-Cooled 1000W Magnetron/Waveguide with an AGL 2.45GHz Microwave Power Generator  4 Isolated Gas Lines with Pneumatic Valves and MFC  AC Box  Main & Slow Vacuum Valves  MKS Baratron  Throttle Valve  Front EMO, Interlocks  15-rnch Touch Screen GUI AW-2001R Specifications*  Wafer Size: 2, 3, 4, 5, 6 inch Capability.  Chuck Temperature: 60-110ºC (±2 ºC)  Gases: NF3 CF4 HE O2  Uniformity:  100mm : ± 3% (5% 3 sigma) *  150mm : ± 5% (8% 3 sigma) *  *max.- min. /2 x average  Reproducibility (w-t-w): 10% 3 sigma  Particulate: 0.05p/cm2 > 0.3µm  NO DAMAGE: ≤0.1 Volt CV-shift * Contact Allwin21 sales for other applications and specifications Options  GEM/SECS II function (Software)  Light Tower  Vacuum Pump Integrated Robust Solid Robot Tel.: 408-778-7788 E-mail: sales@allwin21.com Website: www.allwin21.com All specification and information here are subject to change without notice and can not be used for purchase and facility plan. Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A. RFQ for Fast Free Quotation
  • 5. Plasma Etcher ALLLWIN21 CORP. Introduction E-mail: sales@allwin21.com Website: www.allwin21.com AW-303R The AW-303R is an electromechanical production system used to etch materials such as nitride, oxide, polysilicon,etc. from the surface of silicon or other substrates. Each wafer is processed individually by means of a chemical reaction induced by a gas plasma.The AW-303R is an automated single wafer tool designed as a flexible 13.56MHz RF downstream plasma etch system for high-volume wafer fabrication. The AW-303R is in direct response to manufacturer’s concerns for wafer uniformity, uptime, reliability and production-proven technology. AW-303R Key Features Production-proven plasma etcher system. Integrated solid robotic wafer handling, Single wafer process. High selectivity to PR(> 10: 1 Oxi.de:PR) Frontside and backside isotropic removal. Consistent wafer-to-wafer process cycle repeatability. Temperature control from 20 to 120o C. 50mm-150mm wafer capability. Up to 6.25” substrate. Up to 4 wafer size capability without hardware change. Fixed cassette station and wafer aligner/cooling station. Can handle 50um thickness wafer. PC controller with Advanced Allwin21 Software. Up to 4 gas lines with MFC. Air-Cooled 600W MKS 13.56 MHz RF Generator (300W Option). Pressure control with Throttle Valve. 15-inch Touch screen monitor GUI. EMO, Interlocks, and Watchdog function. GEM/SECS II (optional). Small Footprint: 27”W x 40”D x 59”H (280LBs) Made in U.S.A. AW-303R Applications Isotropic Oxide Etch for Contacts and Vias Silicon ARC Removal Passivation Etch Oxynitride Passivation Etch Nitride Backside Poly Etch Backside Nitride Etch LPCVD Nitride Etch (LOCOS) ASM Alignment Mark Etch SiChrome Etch Production-proven Reactor Integrated Robust Solid Robot Introduction RFQ for Fast Free Quotation
  • 6. AW-303R Software Key Features o Real time graphics display, process data acquisition, and analysis. o Closed-loop process parameters control. o Precise parameters profiles tailored to suit specific process requirements. o Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results. o Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber. o Validation of the recipe so improper control sequences will be revealed. o Storage of multiple recipes, process data, and calibration files so that process & calibration results can be maintained or compared over time. o Passwords provide security for the system, recipe editing, diagnostics, calibration, and setup functions. o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed. o Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O and AD/DA “exposure”. o DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable. o The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored. o GEM/SECS II function (Optional). AW-303R Specifications*  Wafer Size: Up to 6.25 inch.  Temperature: 20-120ºC (±2ºC)  Gas Lines: Up to four gas lines with MFCs.  >2000 A/min. Thermo Oxide,>16000A/min.LPCVD Nitride  Uniformity: <±3~5%  Particulate: <0.15 /cm2 (0.3um or greater)  Damage: CV:<0.1V from control; Mobile Ion:<1-2 E10 ; Vt :0% total shift on 98% of points tested no shift >5%  Selectivity: >10:1(Oxide:PR, SiN:Oxide)  MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better.  95% uptime *Contact Allwin21 sales for other applications and specifications AW-303R Configuration Main Frame with Circuit Breakers, Solenoid Valves Pentium Class PC with AW Software Keyboard, Mouse, USB SW backup, and Cables Chuck /w Heat, Pump Ring ,Lift Pins ① 2-4 inch; ② 2-6 inch; ③ 4-6 inch; ④ 6.125 inch; ⑤ 6.25 inch Center Aligner and Cassette Station ① Two Dimensions ② Four Dimensions Non-Anodized Reactor with Door Chamber Base plate with water sensor Reactor Ceramic Ring Metal buffles Upper Electrodes Metal showerhead & Diffusion Disk Main Control and Distribution PCBs 3-axis Integrated Robust Solid Robot RF Matching Network with PCBs 13.56MHz RF Generator ① 300W ② 600W MFC /w In-line Filter and Solenoid Isolation Valve ① One MFC; ② T wo MFCs; ③ T hree MFCs; ④ Four MFCs AC/DC Box and Temperature Controller MKS Baratron with Isolation Valve Lamp Tower Alarm w/ Buzzer Throttle Valve Main Vacuum Valve Front EMO, Interlocks 15-inch Touch Screen GUI Options:  GEM/SECS II (Software)  Vacuum Pump  Chiller for Chamber Base Plate AW-303R Facilities Plumbed Process Gases Cooling water: 1GPM house circulating supply @ <23 ± 2°C Facility Exhaust: 100 CFM @ 1” static pressure Vacuum supply for Robot: 11.8”Hg(-5.8psi) / 0.1CFM airflow Power: 190-240VAC, single phase, 30A, 50/60Hz (NEMA L-6-30P plug supplied) Main Menu Screen ALLLWIN21 CORP. Plasma Ether Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A. Tel.: +1-408-778-7788 Fax: +1-408-904-7168 Email: sales@allwin21.com All specification and information here are subject to change without notice and cannot be used for purchase and facility plan.