SlideShare a Scribd company logo
1 of 9
Download to read offline
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 164
Paper Publications
Reducing The Number Of Transistors In Carry
Select Adder
1
S.Bharathi, 2
T.Ram Mohan
1
M.E Applied Electronics, Final Year, Department of ECE, Jaya Engineering College, Tamilnadu, India
2
Head of Department/ECE, Jaya Engineering College Tamilnadu, India
Abstract: In existing method CMOS logic involved in carry select adder (CSLA), the data dependencies and
redundant logic operations are analyzed and then reduced. The carry select (CS) operation is arranged before the
calculation of-final-sum, which varies from the earlier methods. But the method is not much more efficient due to
power consumption is high. This paper shows the comparison of CMOS logic design and modified Gate Diffusion
Input logic (Mod-GDI) and proved Mod-GDI logic is more power-efficient than Gate Diffusion Input logic (GDI),
Pass Transistor Logic (PTL) and CMOS logic design in CSLA. Basic GDI logic suffers from some limitations like
swing degradation, fabrication difficulty in standard CMOS process and bulk connections. These limitations can
be overcome by Mod- GDI. In the proposed scheme, Mod-GDI is better than GDI and CMOS in the maximum
cases with respect to area, speed, and power dissipation, and power-delay products. From the simulation results,
45% reduction in power-delay product in Mod-GDI logic in CSLA is obtained. Mod-GDI technique performs
varies logic functions by using two transistors. Mod-GDI logic is suitable for designing high speed and less power
consumption with reduced number of transistors. Finally, we compare the power consumption and time delay of
the existing method with our proposed scheme to show our achievement on accuracy.
Keywords: Adder, Low power, GDI and Mod-GDI.
I. INTRODUCTION
Nowadays portable appliances and mobile phones requires low power, delay, area-efficient and high speed VLSI systems
[1,2].Adders are heart of computational circuits and many complex arithmetic circuits are based on the addition. The vast
use of this operation in arithmetic functions attracts a lot of researcher’s attention to adder for mobile applications. Several
variants of different logic styles have been proposed to implement 1-bit adder cells, in recent years
These adder cells commonly aimed to reduce power consumption and increase speed. These studies have also investigated
different approaches realizing adders using CMOS technology. Designers have to work within a very tight leakage power
specification in order to meet product battery life and package cost objectives in mobile applications.
The designer's concern for the level of leakage current is not related to ensuring correct circuit operation, but is related to
minimize power dissipation. For portable electronic devices this equates to maximizing battery life. When an electronic
device such as a mobile phone is in standby mode, the phone is in talk mode, are shut down even though certain portions
of the circuitry within the electronic device, which are active.
Adder plays an important role in basic arithmetic computation since more complex computation on multiplier and divider
circuits.
II. BACK GROUND
A. Existing adder design:
Existing CSLA design is shown in Fig.1(a) has one half-sum generation (HSG) unit, one full-sum generation (FSG) unit,
one carry generator (CG) unit and one carry-select (CS) unit. Two carry-generators (CG0 and CG1) corresponding to
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 165
Paper Publications
input-carry ’0’ and ’1’, which are in the CG unit. The two n bit operands (A and B) are given to HSG, and half-sum word
s0 and half-carry word c0 of width n-bit each are generated. The half-sum word s0 and half-carry word c0 is obtained
from the HSG unit and it is given to both CG0 and CG1 and then two n-bit full-carry words c01 and c11 is produced
corresponding to input-carry’0’ and ’1’, respectively [3].The HSG unit logic diagram is shown in Fig.1.(b). The logic
circuits of CG0 and CG1 are enhanced to take the benefits of the fixed input carry bits. The CG0 and CG1 logic diagrams
are shown in Fig.1.(c) and (d), respectively. The CS unit design is shown in Fig.1(e) which consists of n AND-OR gates.
CS unit generates the final-carry word c. The c’s most significant bit (MSB) is given to output as cout and (n−1) LSBs
are XORed with (n − 1) MSBs of half-sum (s0) in the FSG unit, which is shown in Fig.1(f) and (n − 1) MSBs of final-
sum (s) is received. The LSB of s0 is XORed with cin and LSB of s is received [3].
(a)
Fig.1(a)Existing carry select adder design, (b) Gate-level design of half sum generator (HSG), (c) Gate-level optimized
design of carry-generator (CG0) for input-carry = 0,(d) Gate-level optimized design of carry-generator (CG1) for input-
carry=1, (e)Gate-level design of carry-select (CS) unit, (f) Gate-level design of final-sum generation (FSG) unit.
B. Pass transistor logic (PTL):
Many researches on low power, high speed embedded systems such as mobiles, laptops, etc which allows a lot of
functions to be integrated on a single chip. The improvement of many logic design techniques during the last two
decades, in order to increase the performance of logic circuits, which based on traditional CMOS technology, One form
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 166
Paper Publications
of logic that is popular in low-power digital circuits is PTL. The earlier method for deriving pass-transistor logic is
suitable for NMOS. A set of control signals is given to the gates of NMOS transistors based on the model. N-transistors
receives the another set of data signals. The PTL reduces the redundant transistors so it is ulmost common for low power
digital circuits[4]. The transistors are functioned as switches in order to pass the logic levels between nodes of a circuit,
instead of switches that are directly connected to supply voltages (VDD).
Some of the important advantages of PTL when compared to CMOS design are 1) high speed and low power dissipation
due to reduced number of transistors; and 2) Less interconnection effects due to a small area.
PTL has certain disadvantages: 1) Decrease in potential difference between high and low logic levels, at each stage, 2)
It’s speed reduces when power supply reduces, 3.Static power dissipation is high. GDI is the best method for low power
digital circuit design using less number of transistors compared to traditional CMOS design and existing PTL techniques.
C. GDI technique:
Power dissipation is the most significant problem in high performance circuits. GDI logic technique which reduces the
power consumption, time delay and area of digital circuits, which also reduces the complexity of logic design.
Morgenshtein et al. investigated a high-speed and multipurpose logic style for low power [5]-[7].Comparingthearea,
number of devices and power dissipation ofCMOS,PTL and GDI design techniques, which shows some advantages and
limitations of GDI when compared to other methods. FIG. 2 shows basic GDI logic cell, which is used for implementing
logic functions and circuits at low power and high speed design where G, P and N are three inputs and output is taken
from D terminal [6]. Table 1 represents the logic functions which can be implemented with the help of this basic GDI cell.
Fig 2: Basic GDI cell
KEY FUNCTIONS OF GDI LOCIC CELL:
The GDI logic style deals with the implementation of a wide range of logic operations and uses only two transistors. GDI
logic technique is efficient for design of high-speed and low-power circuits using less number of transistors when
compared to CMOS and existing PTL logic techniques. The GDI logic style method uses a simple basic GDI cell, which
consists of two transistors with four terminals (3-Input terminal,1-Output terminal).looks closely similar to the basic
CMOS inverter at first glimpse and reminds one of the standard CMOS inverter, except present various remarkable
differences which are listed below.
1. The basic GDI cell has three inputs such as: G (common gate input of nMOS and pMOS), P (input to source of
pMOS), and N (input to source of nMOS) but in CMOS inverter circuit there is no three inputs.
2. The bulk connection of both nMOS and pMOS transistors is made possible with N or P respectively, so it can be
arbitrarily biased, which is in contrast with a CMOS inverter.
3. The basic GDI cell allows implementation of varies logic functions using only two transistors which are impossible
with CMOS inverter.
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 167
Paper Publications
All basic logic gates and universal gates also with this GDI cell; we can conclude that the GDI logic style approach
consumes less silicon area compared to other logic styles. GDI gates have lesser area and high speed performance and the
logic style is a power efficient method of design.
FUNCTIONAL BLOCK DIAGRAM OF GDI LOGIC CELL:
FIG. 3 shows a simplified functional block diagram of a GDI logic cell, which uses two transistors for the implementation
of varies logic functions. Basic GDI logic cell has P logic block, N logic block, first & second logic inputs, and three logic
terminals: common diffusion logic terminal and first and second dedicated logic terminals. Depending upon the logic
circuit implementation, the first and second dedicated logic terminals and the common diffusion logic terminal which are
suitable to perform as a logic signal input terminal or a logic signal output terminal [6,7].
The P logic block has an arrangement of p-type transistors that are interconnected for the purpose of implementation of
the logic function. The P logic block contains three logic connections that are as follows; a gate connection is at the gate,
an outer diffusion connection at an outer diffusion node, and an inner diffusion connection at the second inner diffusion
node of one of the p-type transistors. The first dedicated logic terminal is connected to an outer diffusion connection and
the first logic input is given to the gate terminal.
The N logic block has an arrangement of n-type transistors, which is to implement the complementary logic function, and
is arranged in similar to that of the P logic block.
Common diffusion logic terminal; the P and N logic blocks has inner diffusion nodes in the common diffusion logic
terminal which are connected mutually to form the common diffusion logic terminal.
Common logic input; the common logic input is obtained from the mutual connection of common logic terminals.
Therefore, both the P and N logic blocks receive the common logic input.
Fig 3: Functional block diagram of a GDI logic cell
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 168
Paper Publications
GDI CIRCUITS HAVING SOME PRACTICAL LIMITATIONS:
a. GDI circuits also faces problem of swing degradation like PTL circuit design. For eliminating this problem of swing
degradation, a method is used for swing restoration in which a buffer stage is used after every GDI cell, presented by Fish
et.al [6]. But this is not a good quality solution because adding buffer after every logic cell will lead to increased area and
reduced delay.
b. The another most important problem is complexity that GDI logic style faces is difficulty in fabricate in standard
CMOS process.
c. The cell area is increased due to the need for separate wells for each transistor, if twin-well process is used for
implementation by Fish et.al [7] has proposed a modified version of their GDI cell to make it standard CMOS process
compatible.
d. The bulks of nMOS and pMOS are constantly connected to VDD and GND respectively.
The basic GDI logic style has some disadvantages like swing degradation, fabrication complexity in standard CMOS
process and bulk connections. These limitations can be overcome by Modified GDI logic style.
III. MODIFIED GDI TECHNIQUE
This technique most popularly deals with reduction of power consumption, area and time delay of digital circuits.
Modified-GDI [Mod-GDI] cell has a low-voltage terminal SP and a high-voltage terminal SN, which is designed to
connect with a high constant voltage (i.e. supply voltage, VDD) and a low constant voltage (i.e. Ground, GND)
respectively, which is in contrast with the basic GDI cell. With the help of these terminals, the Mod-GDI cell is
compatible for implementation of all current CMOS technologies. Compared to the existing method, the modified GDI
method has less number of transistor and the power consumption and the area is also reduced [5].
Fig 4: Basic Mod-GDI cell
The bulk connection of PMOS transistor is made with the high constant voltage referred as a supply voltage or VDD and
the bulk connection of NMOS transistor is made with the low constant voltage referred as a ground or GND, in the Mod-
GDI cell. By doing this, the proposed Mod-GDI cell is completely suitable for implementation in a standard CMOS
process of fabrication, since bulk connection of all PMOS transistors are connected to the power supply, VDD and bulk
connection of all NMOS transistors are connected to the ground, GND. Mod-GDI technique improves the static power
characteristics and logic level swings and also allows simple top-down design methods. The basic Mod-GDI is shown in
Fig 4.The four-terminal NMOS and PMOS transistors are used in Mod-GDI cell and can be easily implemented in all
type of standard CMOS technology [5].
Table 2 represents the various logic functions which can be implemented with the help of MOD-GDI cell for different
input configurations.
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 169
Paper Publications
Table 2: Various Logic Functions of Mod-GDI cell for Different Input Configurations
This straightforward arrangement as shown in Fig 4 has made the fabrication of GDI cells compatible with the
Standard Nano-scale CMOS fabrication process. Whereas many leakage components can be recognized in sophisticated
sub-micron technologies, the most important leakage currents are given below [5]:
1. Sub-Threshold Leakage
2. Gate Leakage (caused by electron tunneling)
This exceptional arrangement of Mod-GDI cell provides considerable reduction of both sub-threshold and gate leakage
compared to static CMOS gate.
In order to achieve area efficiency, these methods performs a basis for efficient synthesizer implementation. Such as;
Reduction of Short-Circuit Current, Repeaters etc.
Table 3: Comparison of Basic logic gates and CMOS logic design
Table 3 shows that GDI logic style as well as Mod-GDI logic style both are superior to CMOS logic style for low power
design as the numbers of transistors used for implementing basic logic gates are less than that are used in CMOS logic
design.
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 170
Paper Publications
IV. SIMULATION RESULT
Fig 5: Design for CSLA
Fig 6: Waveform of CSLA
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 171
Paper Publications
Simulation Results for CMOS logic
Simulation Results for MOD-GDI logic
Table 4: Comparison of delay & power of logic functions in MOD-GDI and CMOS logic
CMOS LOGIC MOD-GDI LOGIC
Power
(10^-11w)
Delay(s) No. of Transistors Power
(10^-11w)
Delay
(s)
No. of
Transistors
4.43 6.78 66 2.69 2.59 22
The design for 16-bit CSLA is shown in fig 5.The schematic and simulated waveform of CSL A is shown in fig 6. During
discharging process, the output energy which is stored can be recovered by moving backward the current source direction.
Energy dissipation is reduced in PMOS network and the energy stored in the output load capacitance, reused by reversing
the current source direction in adiabatic switching technique. Table 4 shows the comparison of delay & power of logic
functions in MOD-GDI and CMOS logic.A 16-bit CSLA was designed using CMOS logic and MOD-GDI logic,
reduction in power-delay product in Mod-GDI logic can be achieved when compared to CMOS logic.In MOD-GDI logic,
time delay is reduced and the number of transistors are also reduced.
V. CONCLUSION
A 16-bit CSLA adder was fabricated using CMOS technology and Mod-GDI technique. From the simulation results,45%
reduction in power-delay product in Mod-GDI is observed. Mod-GDI approach performs a broad variety of logic
functions by means of only two transistors.Mod-GDI gates lower the transistor count and the area required when
compared to standard static CMOS and Domino CMOS based approaches. The problem of fabrication of GDI gates in
standardnano-scale CMOS process is overcome by connecting the sources of PMOS and NMOS to VDD and GND
respectively in Mod-GDI logic style. In short, the proposed Mod-GDI logic style based designs can be taken a better
alternative in future.
ISSN 2349-7815
International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE)
Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org
Page | 172
Paper Publications
REFERENCES
[1] Parhi K K (1998),”VLSI Digital Signal Processing”NewYork:Wiley.
[2] Chandrakasan A P, Verma N, and Daly D C (2008), ”Ultralow-Power Electronics for Biomedical Applications”,
Annu. Rev. Biomed. Eng. vol. 10, pp. 247-274.
[3] Basant Kumar Mohanty, Senior Member, IEEE and Sujit Kumar Patel(2013)“Area-Delay-Power Efficient Carry-
Select Adder” IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-II, EXPRESS BRIEF
[4] Kunal, Kedia (2012),” GDI Technique: A Power-Efficient Method for Digital Circuits” ISSN (Print): 2278-8948,
Volume-1, Issue-3.
[5] PankajVerma, RuchiSingh andMishra Y K (2013),” Modified GDI Technique - A Power Efficient Method For
Digital Circuit Design”International Journal of Advanced Technology in Engineering and Science, Volume No.01,
Issue No.10.
[6] ArkadiyMorgenshtein, Alexander Fish, and Israel A. Wagner (2002),” Gate-Diffusion Input (GDI): A Power-
EfficientMethod for Digital Combinatorial Circuits” IEEE TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5.
[7] Morgenshtein A, Shwartz I, Fish A (2010), ”Gate Diffusion Input (GDI) Logic in Standard CMOS Nanoscale
Process”, IEEE 26th Convention of Electrical and Engineers in Israel.

More Related Content

What's hot

A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureA Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureIRJET Journal
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...IJMER
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology IJEEE
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) inventionjournals
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
Hardware co simulation of bpsk and qpsk
Hardware co simulation of bpsk and qpskHardware co simulation of bpsk and qpsk
Hardware co simulation of bpsk and qpskIAEME Publication
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...VLSICS Design
 
Negative image amplifier technique for performance enhancement of ultra wideb...
Negative image amplifier technique for performance enhancement of ultra wideb...Negative image amplifier technique for performance enhancement of ultra wideb...
Negative image amplifier technique for performance enhancement of ultra wideb...IJECEIAES
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleIJEEE
 
Lightweight hamming product code based multiple bit error correction coding s...
Lightweight hamming product code based multiple bit error correction coding s...Lightweight hamming product code based multiple bit error correction coding s...
Lightweight hamming product code based multiple bit error correction coding s...journalBEEI
 
Efficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliersEfficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multiplierseSAT Publishing House
 
Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite eSAT Journals
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyIJMER
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyIJEEE
 
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET-  	  FPGA Implementation of Efficient Muf Gate based MultipliersIRJET-  	  FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET- FPGA Implementation of Efficient Muf Gate based MultipliersIRJET Journal
 
kunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adderkunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adderKunjan Shinde
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET Journal
 

What's hot (20)

Ee34791794
Ee34791794Ee34791794
Ee34791794
 
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureA Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
Hardware co simulation of bpsk and qpsk
Hardware co simulation of bpsk and qpskHardware co simulation of bpsk and qpsk
Hardware co simulation of bpsk and qpsk
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
 
Negative image amplifier technique for performance enhancement of ultra wideb...
Negative image amplifier technique for performance enhancement of ultra wideb...Negative image amplifier technique for performance enhancement of ultra wideb...
Negative image amplifier technique for performance enhancement of ultra wideb...
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
 
Lightweight hamming product code based multiple bit error correction coding s...
Lightweight hamming product code based multiple bit error correction coding s...Lightweight hamming product code based multiple bit error correction coding s...
Lightweight hamming product code based multiple bit error correction coding s...
 
Efficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliersEfficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliers
 
Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS Technology
 
X34143146
X34143146X34143146
X34143146
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm Technology
 
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET-  	  FPGA Implementation of Efficient Muf Gate based MultipliersIRJET-  	  FPGA Implementation of Efficient Muf Gate based Multipliers
IRJET- FPGA Implementation of Efficient Muf Gate based Multipliers
 
kunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adderkunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adder
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
 

Viewers also liked

Impact of Renewable Energy Sources on Power System
Impact of Renewable Energy Sources on Power SystemImpact of Renewable Energy Sources on Power System
Impact of Renewable Energy Sources on Power Systempaperpublications3
 
DC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID ControllerDC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID Controllerpaperpublications3
 
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...paperpublications3
 
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...paperpublications3
 
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Person
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind PersonLPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Person
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Personpaperpublications3
 
Extraction of Features from Fundus Images for Diabetes Detection
Extraction of Features from Fundus Images for Diabetes DetectionExtraction of Features from Fundus Images for Diabetes Detection
Extraction of Features from Fundus Images for Diabetes Detectionpaperpublications3
 
FPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace BufferFPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace Bufferpaperpublications3
 
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signals
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM SignalsPeak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signals
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signalspaperpublications3
 

Viewers also liked (8)

Impact of Renewable Energy Sources on Power System
Impact of Renewable Energy Sources on Power SystemImpact of Renewable Energy Sources on Power System
Impact of Renewable Energy Sources on Power System
 
DC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID ControllerDC Motor Speed Control for a Plant Based On PID Controller
DC Motor Speed Control for a Plant Based On PID Controller
 
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...
Simulation and Comparison of DVR and DSTATCOM Used for voltage sag mitigation...
 
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...
Enhancement of Voltage Stability on IEEE 14 Bus Systems Using Static Var Comp...
 
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Person
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind PersonLPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Person
LPC2138 Based Temperature Compensated Ultrasonic Ranging For Blind Person
 
Extraction of Features from Fundus Images for Diabetes Detection
Extraction of Features from Fundus Images for Diabetes DetectionExtraction of Features from Fundus Images for Diabetes Detection
Extraction of Features from Fundus Images for Diabetes Detection
 
FPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace BufferFPGA Debug Using Incremental Trace Buffer
FPGA Debug Using Incremental Trace Buffer
 
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signals
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM SignalsPeak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signals
Peak to Average Power Ratio (PAPR) Reduction in OFDM-OQAM Signals
 

Similar to Reducing the Number Of Transistors In Carry Select Adder

Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adderIOSRJECE
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...VLSICS Design
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueIJERA Editor
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE IJEEE
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsIOSR Journals
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleeSAT Publishing House
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd Iaetsd
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder CircuitsIRJET Journal
 
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...IJEEE
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuitsijtsrd
 
IRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET Journal
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 

Similar to Reducing the Number Of Transistors In Carry Select Adder (20)

Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adder
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
 
A Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI techniqueA Low power and area efficient CLA adder design using Full swing GDI technique
A Low power and area efficient CLA adder design using Full swing GDI technique
 
Ce4301462465
Ce4301462465Ce4301462465
Ce4301462465
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder Circuits
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic style
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
 
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
 
Id21
Id21Id21
Id21
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
 
IRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 

Recently uploaded

the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 

Recently uploaded (20)

the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 

Reducing the Number Of Transistors In Carry Select Adder

  • 1. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 164 Paper Publications Reducing The Number Of Transistors In Carry Select Adder 1 S.Bharathi, 2 T.Ram Mohan 1 M.E Applied Electronics, Final Year, Department of ECE, Jaya Engineering College, Tamilnadu, India 2 Head of Department/ECE, Jaya Engineering College Tamilnadu, India Abstract: In existing method CMOS logic involved in carry select adder (CSLA), the data dependencies and redundant logic operations are analyzed and then reduced. The carry select (CS) operation is arranged before the calculation of-final-sum, which varies from the earlier methods. But the method is not much more efficient due to power consumption is high. This paper shows the comparison of CMOS logic design and modified Gate Diffusion Input logic (Mod-GDI) and proved Mod-GDI logic is more power-efficient than Gate Diffusion Input logic (GDI), Pass Transistor Logic (PTL) and CMOS logic design in CSLA. Basic GDI logic suffers from some limitations like swing degradation, fabrication difficulty in standard CMOS process and bulk connections. These limitations can be overcome by Mod- GDI. In the proposed scheme, Mod-GDI is better than GDI and CMOS in the maximum cases with respect to area, speed, and power dissipation, and power-delay products. From the simulation results, 45% reduction in power-delay product in Mod-GDI logic in CSLA is obtained. Mod-GDI technique performs varies logic functions by using two transistors. Mod-GDI logic is suitable for designing high speed and less power consumption with reduced number of transistors. Finally, we compare the power consumption and time delay of the existing method with our proposed scheme to show our achievement on accuracy. Keywords: Adder, Low power, GDI and Mod-GDI. I. INTRODUCTION Nowadays portable appliances and mobile phones requires low power, delay, area-efficient and high speed VLSI systems [1,2].Adders are heart of computational circuits and many complex arithmetic circuits are based on the addition. The vast use of this operation in arithmetic functions attracts a lot of researcher’s attention to adder for mobile applications. Several variants of different logic styles have been proposed to implement 1-bit adder cells, in recent years These adder cells commonly aimed to reduce power consumption and increase speed. These studies have also investigated different approaches realizing adders using CMOS technology. Designers have to work within a very tight leakage power specification in order to meet product battery life and package cost objectives in mobile applications. The designer's concern for the level of leakage current is not related to ensuring correct circuit operation, but is related to minimize power dissipation. For portable electronic devices this equates to maximizing battery life. When an electronic device such as a mobile phone is in standby mode, the phone is in talk mode, are shut down even though certain portions of the circuitry within the electronic device, which are active. Adder plays an important role in basic arithmetic computation since more complex computation on multiplier and divider circuits. II. BACK GROUND A. Existing adder design: Existing CSLA design is shown in Fig.1(a) has one half-sum generation (HSG) unit, one full-sum generation (FSG) unit, one carry generator (CG) unit and one carry-select (CS) unit. Two carry-generators (CG0 and CG1) corresponding to
  • 2. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 165 Paper Publications input-carry ’0’ and ’1’, which are in the CG unit. The two n bit operands (A and B) are given to HSG, and half-sum word s0 and half-carry word c0 of width n-bit each are generated. The half-sum word s0 and half-carry word c0 is obtained from the HSG unit and it is given to both CG0 and CG1 and then two n-bit full-carry words c01 and c11 is produced corresponding to input-carry’0’ and ’1’, respectively [3].The HSG unit logic diagram is shown in Fig.1.(b). The logic circuits of CG0 and CG1 are enhanced to take the benefits of the fixed input carry bits. The CG0 and CG1 logic diagrams are shown in Fig.1.(c) and (d), respectively. The CS unit design is shown in Fig.1(e) which consists of n AND-OR gates. CS unit generates the final-carry word c. The c’s most significant bit (MSB) is given to output as cout and (n−1) LSBs are XORed with (n − 1) MSBs of half-sum (s0) in the FSG unit, which is shown in Fig.1(f) and (n − 1) MSBs of final- sum (s) is received. The LSB of s0 is XORed with cin and LSB of s is received [3]. (a) Fig.1(a)Existing carry select adder design, (b) Gate-level design of half sum generator (HSG), (c) Gate-level optimized design of carry-generator (CG0) for input-carry = 0,(d) Gate-level optimized design of carry-generator (CG1) for input- carry=1, (e)Gate-level design of carry-select (CS) unit, (f) Gate-level design of final-sum generation (FSG) unit. B. Pass transistor logic (PTL): Many researches on low power, high speed embedded systems such as mobiles, laptops, etc which allows a lot of functions to be integrated on a single chip. The improvement of many logic design techniques during the last two decades, in order to increase the performance of logic circuits, which based on traditional CMOS technology, One form
  • 3. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 166 Paper Publications of logic that is popular in low-power digital circuits is PTL. The earlier method for deriving pass-transistor logic is suitable for NMOS. A set of control signals is given to the gates of NMOS transistors based on the model. N-transistors receives the another set of data signals. The PTL reduces the redundant transistors so it is ulmost common for low power digital circuits[4]. The transistors are functioned as switches in order to pass the logic levels between nodes of a circuit, instead of switches that are directly connected to supply voltages (VDD). Some of the important advantages of PTL when compared to CMOS design are 1) high speed and low power dissipation due to reduced number of transistors; and 2) Less interconnection effects due to a small area. PTL has certain disadvantages: 1) Decrease in potential difference between high and low logic levels, at each stage, 2) It’s speed reduces when power supply reduces, 3.Static power dissipation is high. GDI is the best method for low power digital circuit design using less number of transistors compared to traditional CMOS design and existing PTL techniques. C. GDI technique: Power dissipation is the most significant problem in high performance circuits. GDI logic technique which reduces the power consumption, time delay and area of digital circuits, which also reduces the complexity of logic design. Morgenshtein et al. investigated a high-speed and multipurpose logic style for low power [5]-[7].Comparingthearea, number of devices and power dissipation ofCMOS,PTL and GDI design techniques, which shows some advantages and limitations of GDI when compared to other methods. FIG. 2 shows basic GDI logic cell, which is used for implementing logic functions and circuits at low power and high speed design where G, P and N are three inputs and output is taken from D terminal [6]. Table 1 represents the logic functions which can be implemented with the help of this basic GDI cell. Fig 2: Basic GDI cell KEY FUNCTIONS OF GDI LOCIC CELL: The GDI logic style deals with the implementation of a wide range of logic operations and uses only two transistors. GDI logic technique is efficient for design of high-speed and low-power circuits using less number of transistors when compared to CMOS and existing PTL logic techniques. The GDI logic style method uses a simple basic GDI cell, which consists of two transistors with four terminals (3-Input terminal,1-Output terminal).looks closely similar to the basic CMOS inverter at first glimpse and reminds one of the standard CMOS inverter, except present various remarkable differences which are listed below. 1. The basic GDI cell has three inputs such as: G (common gate input of nMOS and pMOS), P (input to source of pMOS), and N (input to source of nMOS) but in CMOS inverter circuit there is no three inputs. 2. The bulk connection of both nMOS and pMOS transistors is made possible with N or P respectively, so it can be arbitrarily biased, which is in contrast with a CMOS inverter. 3. The basic GDI cell allows implementation of varies logic functions using only two transistors which are impossible with CMOS inverter.
  • 4. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 167 Paper Publications All basic logic gates and universal gates also with this GDI cell; we can conclude that the GDI logic style approach consumes less silicon area compared to other logic styles. GDI gates have lesser area and high speed performance and the logic style is a power efficient method of design. FUNCTIONAL BLOCK DIAGRAM OF GDI LOGIC CELL: FIG. 3 shows a simplified functional block diagram of a GDI logic cell, which uses two transistors for the implementation of varies logic functions. Basic GDI logic cell has P logic block, N logic block, first & second logic inputs, and three logic terminals: common diffusion logic terminal and first and second dedicated logic terminals. Depending upon the logic circuit implementation, the first and second dedicated logic terminals and the common diffusion logic terminal which are suitable to perform as a logic signal input terminal or a logic signal output terminal [6,7]. The P logic block has an arrangement of p-type transistors that are interconnected for the purpose of implementation of the logic function. The P logic block contains three logic connections that are as follows; a gate connection is at the gate, an outer diffusion connection at an outer diffusion node, and an inner diffusion connection at the second inner diffusion node of one of the p-type transistors. The first dedicated logic terminal is connected to an outer diffusion connection and the first logic input is given to the gate terminal. The N logic block has an arrangement of n-type transistors, which is to implement the complementary logic function, and is arranged in similar to that of the P logic block. Common diffusion logic terminal; the P and N logic blocks has inner diffusion nodes in the common diffusion logic terminal which are connected mutually to form the common diffusion logic terminal. Common logic input; the common logic input is obtained from the mutual connection of common logic terminals. Therefore, both the P and N logic blocks receive the common logic input. Fig 3: Functional block diagram of a GDI logic cell
  • 5. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 168 Paper Publications GDI CIRCUITS HAVING SOME PRACTICAL LIMITATIONS: a. GDI circuits also faces problem of swing degradation like PTL circuit design. For eliminating this problem of swing degradation, a method is used for swing restoration in which a buffer stage is used after every GDI cell, presented by Fish et.al [6]. But this is not a good quality solution because adding buffer after every logic cell will lead to increased area and reduced delay. b. The another most important problem is complexity that GDI logic style faces is difficulty in fabricate in standard CMOS process. c. The cell area is increased due to the need for separate wells for each transistor, if twin-well process is used for implementation by Fish et.al [7] has proposed a modified version of their GDI cell to make it standard CMOS process compatible. d. The bulks of nMOS and pMOS are constantly connected to VDD and GND respectively. The basic GDI logic style has some disadvantages like swing degradation, fabrication complexity in standard CMOS process and bulk connections. These limitations can be overcome by Modified GDI logic style. III. MODIFIED GDI TECHNIQUE This technique most popularly deals with reduction of power consumption, area and time delay of digital circuits. Modified-GDI [Mod-GDI] cell has a low-voltage terminal SP and a high-voltage terminal SN, which is designed to connect with a high constant voltage (i.e. supply voltage, VDD) and a low constant voltage (i.e. Ground, GND) respectively, which is in contrast with the basic GDI cell. With the help of these terminals, the Mod-GDI cell is compatible for implementation of all current CMOS technologies. Compared to the existing method, the modified GDI method has less number of transistor and the power consumption and the area is also reduced [5]. Fig 4: Basic Mod-GDI cell The bulk connection of PMOS transistor is made with the high constant voltage referred as a supply voltage or VDD and the bulk connection of NMOS transistor is made with the low constant voltage referred as a ground or GND, in the Mod- GDI cell. By doing this, the proposed Mod-GDI cell is completely suitable for implementation in a standard CMOS process of fabrication, since bulk connection of all PMOS transistors are connected to the power supply, VDD and bulk connection of all NMOS transistors are connected to the ground, GND. Mod-GDI technique improves the static power characteristics and logic level swings and also allows simple top-down design methods. The basic Mod-GDI is shown in Fig 4.The four-terminal NMOS and PMOS transistors are used in Mod-GDI cell and can be easily implemented in all type of standard CMOS technology [5]. Table 2 represents the various logic functions which can be implemented with the help of MOD-GDI cell for different input configurations.
  • 6. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 169 Paper Publications Table 2: Various Logic Functions of Mod-GDI cell for Different Input Configurations This straightforward arrangement as shown in Fig 4 has made the fabrication of GDI cells compatible with the Standard Nano-scale CMOS fabrication process. Whereas many leakage components can be recognized in sophisticated sub-micron technologies, the most important leakage currents are given below [5]: 1. Sub-Threshold Leakage 2. Gate Leakage (caused by electron tunneling) This exceptional arrangement of Mod-GDI cell provides considerable reduction of both sub-threshold and gate leakage compared to static CMOS gate. In order to achieve area efficiency, these methods performs a basis for efficient synthesizer implementation. Such as; Reduction of Short-Circuit Current, Repeaters etc. Table 3: Comparison of Basic logic gates and CMOS logic design Table 3 shows that GDI logic style as well as Mod-GDI logic style both are superior to CMOS logic style for low power design as the numbers of transistors used for implementing basic logic gates are less than that are used in CMOS logic design.
  • 7. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 170 Paper Publications IV. SIMULATION RESULT Fig 5: Design for CSLA Fig 6: Waveform of CSLA
  • 8. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 171 Paper Publications Simulation Results for CMOS logic Simulation Results for MOD-GDI logic Table 4: Comparison of delay & power of logic functions in MOD-GDI and CMOS logic CMOS LOGIC MOD-GDI LOGIC Power (10^-11w) Delay(s) No. of Transistors Power (10^-11w) Delay (s) No. of Transistors 4.43 6.78 66 2.69 2.59 22 The design for 16-bit CSLA is shown in fig 5.The schematic and simulated waveform of CSL A is shown in fig 6. During discharging process, the output energy which is stored can be recovered by moving backward the current source direction. Energy dissipation is reduced in PMOS network and the energy stored in the output load capacitance, reused by reversing the current source direction in adiabatic switching technique. Table 4 shows the comparison of delay & power of logic functions in MOD-GDI and CMOS logic.A 16-bit CSLA was designed using CMOS logic and MOD-GDI logic, reduction in power-delay product in Mod-GDI logic can be achieved when compared to CMOS logic.In MOD-GDI logic, time delay is reduced and the number of transistors are also reduced. V. CONCLUSION A 16-bit CSLA adder was fabricated using CMOS technology and Mod-GDI technique. From the simulation results,45% reduction in power-delay product in Mod-GDI is observed. Mod-GDI approach performs a broad variety of logic functions by means of only two transistors.Mod-GDI gates lower the transistor count and the area required when compared to standard static CMOS and Domino CMOS based approaches. The problem of fabrication of GDI gates in standardnano-scale CMOS process is overcome by connecting the sources of PMOS and NMOS to VDD and GND respectively in Mod-GDI logic style. In short, the proposed Mod-GDI logic style based designs can be taken a better alternative in future.
  • 9. ISSN 2349-7815 International Journal of Recent Research in Electrical and Electronics Engineering (IJRREEE) Vol. 2, Issue 2, pp: (164-172), Month: April 2015 - June 2015, Available at: www.paperpublications.org Page | 172 Paper Publications REFERENCES [1] Parhi K K (1998),”VLSI Digital Signal Processing”NewYork:Wiley. [2] Chandrakasan A P, Verma N, and Daly D C (2008), ”Ultralow-Power Electronics for Biomedical Applications”, Annu. Rev. Biomed. Eng. vol. 10, pp. 247-274. [3] Basant Kumar Mohanty, Senior Member, IEEE and Sujit Kumar Patel(2013)“Area-Delay-Power Efficient Carry- Select Adder” IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-II, EXPRESS BRIEF [4] Kunal, Kedia (2012),” GDI Technique: A Power-Efficient Method for Digital Circuits” ISSN (Print): 2278-8948, Volume-1, Issue-3. [5] PankajVerma, RuchiSingh andMishra Y K (2013),” Modified GDI Technique - A Power Efficient Method For Digital Circuit Design”International Journal of Advanced Technology in Engineering and Science, Volume No.01, Issue No.10. [6] ArkadiyMorgenshtein, Alexander Fish, and Israel A. Wagner (2002),” Gate-Diffusion Input (GDI): A Power- EfficientMethod for Digital Combinatorial Circuits” IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5. [7] Morgenshtein A, Shwartz I, Fish A (2010), ”Gate Diffusion Input (GDI) Logic in Standard CMOS Nanoscale Process”, IEEE 26th Convention of Electrical and Engineers in Israel.