SlideShare a Scribd company logo
1 of 7
Download to read offline
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 8 | P a g e
A Low power and area efficient CLA adder design using Full
swing GDI technique
Matcha Hemanth Kumar, Prof. Dr.S.M.VALI
Dept. of Electronics and Communication Engineering MVGR College of Engineering Vizianagaram, India
Dept. of Electronics and Communication Engineering MVGR College of Engineering Vizianagaram, India
Abstract
The low power VLSI design has an important role in designing of many electronic systems. While designing
any combinational or sequential circuits, the important parameters like power consumption, implementation
area, voltage leakage and performance of the circuit are to be considered. Design of area, high speed and power-
efficient data path logic systems forms the largest areas of research in VLSI system design. This paper presents
a low power Carry look ahead adder design using Full swing Gate diffusion (FS-GDI) technique. The proposed
CLA implementation utilizes improved full-swing GDI F1 and F2 gates, which are the counterparts of standard
CMOS NAND and NOR gates. The basic Gate Diffusion Input (GDI) logic style suffers from some practical
limitations like swing degradation, fabrication complexity in standard CMOS process and bulk connections.
These limitations can be overcome by Full swing GDI technique. The proposed technique utilizes a single swing
restoration (SR) transistor to improve the output swing of F1 and F2 GDI gates. A 16-bit CLA is designed and
Simulations are performed by Mentor graphics 130nm CMOS technology ELDO simulator. Simulation results
have shown a greater reduction in delay, power dissipation and area.
Index Terms: Full swing Gate Diffusion Input (FS-GDI), Low Power Design, Full swing 3T EXOR, delay,
efficient area.
I. Introduction
Low power arithmetic circuits have become very
prominent these days in VLSI industry. The rapid
growth of portable electronics has made the adder
circuit as the main building block in DSP processor.
Adder is the main component of arithmetic unit. A
Complex DSP system involves several adders. CLA
is proved to have good performance which is using in
high speed adders. High speed, high throughput,
small silicon area and low power consumption are the
main concerns in designing an efficient adder. Power
consumption and area reduction of logic have
become primary focuses of attention in VLSI digital
design. Power and area directly affects the high
performance systems as well as device size and cost.
Since the introduction of the standard CMOS Logic
in early 80s, many design solutions have been
proposed to improve power dissipation, area and
performance of digital VLSI chips.
Gate Diffusion Input (GDI) design methodology
was introduced as a promising alternative to Static
CMOS Logic. Generally it was proposed for
fabrication in Silicon on Insulator (SOI) and twin-
well CMOS processes.GDI methodology allowed
implementation of a wide range of complex logic
functions by using only two transistors. This method
is suitable for design of fast, low-power circuits,
using a reduced number of transistors (as compared
to CMOS and existing techniques), while improving
logic level swing and static power characteristics and
allowing simple top-down design by using small cell
library. Recently, it was shown that any GDI circuit
can be implemented in a standard CMOS process.
Various combinational circuits, such as adders,
multipliers, comparators, and counters, were
implemented in processes from 0.8 micrometer down
to 65 nanometer. But these GDI gates may suffer
from threshold voltage drops which reduce current
drive and therefore affect the performance of the
gate. These drops also increase direct-path static
power dissipation in the cascaded inverters, used for
swing restoration.
As an alternative Full swing GDI technique came
into existence. The adverse effects can be
significantly reduced by using swing restoration
buffers. This paper presents an efficient methodology
for digital circuit‘s implementation. The proposed
methodology was applied to a 16-bit adder in low
power standard 130 nm TSMC process. The
proposed CLA implementation utilizes improved
full-swing GDI F1 and F2 gates, which are the
counterparts of standard CMOS NAND and NOR
gates. The CLA presents a greater area and power
reduction when compared to the conventional CMOS
implementation.
II. OVER VIEW OF GDI
The GDI method is based on the use of a simple
cell as shown in Fig. 1. The basic cell reminds one of
RESEARCH ARTICLE OPEN ACCESS
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 9 | P a g e
the standard CMOS inverter, but there are some
differences [4].
Figure 1: Basic GDI cell
1) The GDI cell contains three inputs: G (common
gate input of nMOS and pMOS), P (input to the
source/drain of pMOS), and N (input to the
source/drain of nMOS).
2) Bulks of both nMOS and pMOS are connected to
ground and Vdd (respectively), so it can be arbitrarily
biased at contrast with a CMOS inverter.
Multiple Boolean functions can be implemented
by a simple GDI cell, as demonstrated in Table 1.
This is achieved by a change of the input
configuration of the GDI cell. While implementation
of most of these functions is relatively complex (6–
12 transistors) in Static CMOS, it is very efficient
(only 2 transistors) with the GDI cells. The
Multiplexer (MUX) is the most complex function that
can be implemented with a basic GDI cell, while
being the most efficient function as compared to
CMOS implementation.
Table 1: Some logic functions that can be
implemented with a basic GDI cell.
N P G Output Function
‗0‘ B A BA F1
B ‗1‘ A BA  F2
‗1‘ B A BA OR
B ‗0‘ A AB AND
C B A
ACBA  MUX
‗0‘ ‗1‘ A A NOT
The major drawback of the GDI technique is it
suffers from threshold voltage drops which reduce
current drive and therefore affect the performance of
the gate and increase static power dissipation in the
cascaded inverters, used for swing restoration. By
using multiple Threshold transistors (Multi VTH) in
swing restoration buffer the power dissipation can be
reduced. The threshold voltage drops can also be
minimized and full swing can be ensured by using
swing restoration transistors in the Full swing GDI
technique.
III. Full swing gdi
The proposed technique utilizes a single swing
restoration (SR) transistor to improve the output
swing of F1 and F2 GDI gates .Figure 2 shows the
structure of full swing F1 and F2 cells. The SR
transistor is activated only in cases when the Vth drop
may occur at the output. Since in F1 and F2 gates, the
output Vth drop can occur only at one of the logical
levels (Vth instead of 0 V in F1, and Vdd–Vth instead
of Vdd in F2), only a single SR transistor is required
to ensure the full swing operation [1].
a) F1 cell b) F2 cell
Figure 2: FS GDI gates
The gate input signal of GDI cell has an inverted
representation in the circuit; it can be used to control
the swing restoring transistor. This transistor will
have a diffusion input similar to the diffusion input of
GDI, but will be of an opposite type (nMOS for F1,
and pMOS for F2). In this manner, the diffusion input
signal will pass through a pair of transistors of both
types (in the transistor of original GDI cell, and the
complementary SR transistor). The FS GDI cells are
efficient alternative for swing restoration buffers, in
designs where inverted signals can be obtained as
part of logic function implementation.
IV. PROPOSED 3T EXOR WITH FULL SWING
The Ex-OR gates are the basic building blocks of
various digital system applications like adder,
comparator, and parity generator/checker and
encryption processor. This paper proposes a 3
Transistor Ex-OR circuit which ensures full swing at
the output [5]. The new Ex-OR with three transistors
is proposed by modifying the existed 3T cross
coupled Ex-OR gate to get full output voltage swing.
This may be an alternative for 6 transistors EXOR
gate used for achieving full swing at output in which
less number of transistors can minimize the area and
power dissipation. The proposed 3T model majorly
reduces overall die area and power dissipation of the
16-bit CLA implemented.
The figure 3 shows the proposed 3T EXOR
which is implemented in 130nm process technology.
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 10 | P a g e
Figure 3: Proposed 3T EXOR with Full swing
Simulation results have shown that the proposed
3 transistor EXOR model ensures Full swing at the
output as shown in figure 4.
Figure 4: Simulation results of 3T EXOR with Full
swing
V. CLA IMPLEMENTATION USING FS GDI
The implementation was based mostly on F1 and
F2 cells, assuming the logical functions F1= BA and
F2= BA  The conventional architecture of CLA is
shown in figure 5.
The pg unit outputs were implemented as follows [1]
The PG unit implementation is the following
The local and global carry generator blocks are
implemented according to
The signals P1, G1 and P0, G0 represent the outputs
from top hierarchy level CLA blocks.
Figure 5: The general CLA architecture
It can be clearly seen that FS GDI
implementation have significant advantage in terms
of transistors count and area, as compared to CMOS
providing full swing and improved performance. It
implies about 40% area increase as compared to
Multi voltage threshold GDI (MVT GDI)[1]. Still, it
occupies only half of area as compared to CMOS
design.
Figure 6: Proposed CLA architecture in 130nm
technology
VI. SIMULATION RESULTS AND
ANALYSIS
A new CLA adder using full swing GDI
technique have been proposed in this paper as shown
in figure 6. This adder is evaluated and compared to
other GDI adders from the literature. In particular this
CLA is implemented using Mentor graphics
schematic and layout editor and extracted using
130nm CMOS technology. Simulations are carried
out using ELDO, with the capacitances and
resistances extracted from the layout. The adder is
simulated with 100 MHz frequency and at 270
C and
the supply voltages varying from 0.8 to 1.4V.
The required input pattern- to-input-pattern
transitions are included in the test patterns to obtain
accurate result. Delay and Power dissipation are
measured for the whole 16 bit adder.
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 11 | P a g e
a)
b)
Figure 7: Simulation waveforms of 16 bit CLA
It was shown that the overall area and power
dissipation has been significantly reduced when
compared to other GDI techniques and conventional
CMOS technology. The proposed model is compared
with the previous models of GDI techniques and the
conventional CMOS model.
Figure 8: Layout of Proposed 16-bit CLA architecture
An efficient decrease in the transistor count
which results in the gradual decrease in the overall
area is achieved by the proposed model. The proposed
EXOR model with 3 transistors has reduced a total of
48 transistors in the whole circuit reducing the overall
die area.
Table 1: Transistor count and area estimation
comparison between GDI and Static CMOS designs.
Design
Unit
pg PG LCG CLA Last
CLA
EXO
R
TOTA
L
CMOS 18
(41)
18
(35)
12
(24)
30
(59)
34
(77)
192
(480)
934
(2039)
MVT
GDI
8
(12)
8
(12)
8
(12)
16
(24)
24
(36)
64
(93)
438
(657)
FS
GDI(6T
EXOR)
11
(16)
11
(16)
10
(15)
21
(31)
31
(46)
96
(189)
627
(925)
FS
GDI(3T
EXOR)
11
(16)
11
(16)
10
(15)
21
(31)
31
(46)
48
(94)
579
(831)
Transistors count (Area [W min L min]).
In general the CMOS design has the shortest
delay among all adder implementations. The FS GDI
implementation shows a 30% delay increase when
compared to CMOS design. Whereas the MVT GDI
is about three times slower than CMOS due to
voltage drops. The proposed model shows better
delay results when compared to the previous GDI
techniques. Better performance results can be
obtained by the FS GDI model through which the
driving capability can be increased by using F1 and
F2 cells. The power dissipation has been reduced
prominently with the proposed model.
Different types of adders and multipliers can be
designed using the FS GDI technique. As an
application a Carry skip adder (CSK) and a Carry
select adder (CSL) are designed using the full swing
GDI technique. Both the adders implemented were of
16 bit. Each and every module of the circuits is
designed using the full swing approach.
VII. CARRY SELECT ADDER (USING FS GDI
TECHNIQUE)
A 16 bit carry select adder (CSL) is designed
using the proposed FS GDI methodology in 130 nm
technology. Several internal modules like AND, OR,
MUX, HA, FA are designed using the Full swing
technique.
The schematic of the proposed 16 bit CSL is
shown in figure 9.
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 12 | P a g e
Figure 9: 16 bit CSL (using FS GDI technique)
a)
b)
Figure 10: Simulation waveforms of 16 bit CSL
VIII. CARRY SKIP ADDER (USING FS GDI
TECHNIQUE)
A 16 bit carry skip adder (CSK) is designed using
the proposed FS GDI methodology in 130 nm
technology.
Several internal modules like RCA, OR, 5-INPUT
AND are designed using the full swing approach.
The schematic of the proposed 16 bit CSK is
shown in figure 11.
Figure 12: 16 bit CSK (using FS GDI technique)
a)
b)
Figure 13: Simulation waveforms of 16 bit CSK
The delay and power dissipation comparison of
the 16 bit CLA and different other adder
implementations is shown below
Figure 14: Delay results of different adders
664.8 665.6 659.9
339.9 337.7
0
100
200
300
400
500
600
700
MVT
GDI
CLA
FS GDI
CLA
(6T
XOR)
FS GDI
CLA
(3T
XOR)
FS GDI
CSL
FS GDI
CSK
DELAY (ps)
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 13 | P a g e
Figure 15: Power dissipation results of different
adders
Figure 16: Transistor count of different adders
IX. CONCLUSIONS
In this paper a low power 16 bit CLA adder
using full swing GDI technique is proposed. A novel
3Transistor EXOR circuit which produces Full swing
at its output is presented. The design and
implementation of this CLA using 3T EXOR has
shown better results of reduced area and power
dissipation. This designed CLA adder circuit
successfully operates at low voltages with high
driving capability and excellent signal integrity. The
adder is operated in low power 130nm CMOS
technology and is studied for low power dissipation
and reduced area models. Simulations have been
carried out using Mentor Graphics ELDO simulator
to evaluate the new design. Also different types of
adders like Carry select adder and carry skip adder
are designed using full swing GDI technique. A wide
comparison is made for different designs and a
significant improvement in terms of Delay, power
dissipation and area parameters are illustrated. A
large area reduction and reduction on switching
activity is achieved significantly reducing the number
of transistors in the circuit. The 16-bit CLA adder
using Full swing GDI technique with optimized
EXOR circuit produced better delay, reduced power
dissipation and area results.
REFERENCES
[1] Arkadiy Morgenshtein, Viacheslav
Yuzhaninov, Alexey Kovshilovsky,
Alexander Fish ―Full-Swing Gate Diffusion
Input logic—Case-study of low-power CLA
adder design,‖ Integration,The VLSI Journal
(Elsevier) 47 (2014) 62-70.
[2] M. Alioto, Ultra-low power VLSI circuit
design demystified and explained: atutorial,
IEEE Transactions on Circuits and
Systems—Part I (invited) 59 (1) (2012) 3–
29.
[3] A. Morgenshtein, I. Shwartz, A. Fish, Gate
diffusion input (GDI) logic in standard
CMOS nanoscale process, in: Proceedings
of IEEE Convention ofElectrical and
Electronics Engineers in Israel, 2010.
[4] A. Morgenshtein, A. Fish, I.A. Wagner,
Gate-diffusion input (GDI)—a
powerefficient method for digital
combinatorial circuits, IEEE Transactions
on VLSI Systems 10 (5) (2002).
[5] Mrudula Singamsetti , Sarada Musala ―A
Full swing Ex-OR/Ex-NOR Gate Circuit‖
International Journal of Engineering Trends
and Technology (IJETT) – Volume 11
Number 6 - May 2014.
[6] Bol D. Robust and energy-efficient ultra-
low-voltage circuit design under timing
constraints in 65/45 nm CMOS. Journal of
Low Power Electronics and Applications 1
(1) (2011) 1–19.
[7] G. Gammie, A. Wang, M. Chau, S.
Gururajarao, R. Pitts, F. Jumel, S. Engel, P.
Royannez, R. Lagerquist, H. Mair, A 45 nm
3.5 g baseband-and-multimedia application
processor using adaptive body-bias and
ultra-low-power techniques, in: Proceedings
of IEEE International Solid-State Circuits
Conference Digest of Technical Papers
(ISSCC), 2008, pp. 258–611.
[8] K.K. Chaddha, R. Chandel, Design and
analysis of a modified low power CMOS
full adder using gate-diffusion input
technique, Journal of Low PowerElectronics
6 (4) (2010) 482–490.
[9] O.P. Hari, A.K. Mai, Low power and area
efficient implementation of N-phase non
overlapping clock generator using GDI
technique, in: Proceedings of IEEE
International Conference on Electronics
Computer Technology (ICECT), 2011.
[10] P.MLee, C.H. Hsu, Y.-H. Hung, Novel 10-T
full adders realized by GDI structure, in:
595.3
79.6
41.1
226.4
126.6
0
100
200
300
400
500
600
700
MVT
GDI
CLA
FS
GDI
CLA
(6T
XOR)
FS
GDI
CLA
(3T
XOR)
FS
GDI
CSL
FS
GDI
CSK
POWER DISSPATION (nw)
POWER
DISSPATION…
438
627 547
958
556
0
200
400
600
800
1000
1200
MVT
GDI
CLA
FS GDI
CLA
(6T
XOR)
FS GDI
CLA
(3T
XOR)
FS GDI
CSL
FS GDI
CSK
NO.OF TRANSISTORS
Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14
www.ijera.com 14 | P a g e
Proceedings of the IEEE International
Symposium on Integrated Circuits, 2007.
[11] F. Moradi, D.T. Wisland, D.T.H.
Mahmoodi, H.S. Aunet, T.V. Cao, A.
Peiravi, Ultra low power full adder
topologies, in: Proceedings of ISCAS'04,
Taipei, Taiwan, May 2009.
[12] A. Morgenshtein, A. Fish, I.A. Wagner, An
efficient implementation of D-flipflop using
the GDI technique, in: Proceedings of
ISCAS'04 Conference, Canada, May 2004,
pp. 673–676.
[13] R. Uma, P. Dhavachelvan, Modified gate
diffusion input technique: a new technique
for enhancing performance in full adder
circuits, Proceedings of ICCCS 6 (2012) 74–
81.
[14] A. Wang, B.H. Calhoun, A.P.
Chandrakasan, Sub-Threshold Design for
Ultra Low-Power Systems, Springer Verlag,
2006.
[15] S. Fisher, A. Teman, D. Vaysman, A.
Gertsman, O. Yadid-Pecht, A. Fish, Digital
subthreshold logic design - motivation and
challenges, in: Proceedings of the IEEE 25th
Convention of Electrical and Electronics
Engineers in Israel (IEEEI), vol. 3–5, 2008,
pp. 702–706.
[16] P.R. Panda, A. Shrivastava, P.R. Panda,
B.V.N. Silpa, K. Gummidipudi, Power-
Efficient System Design, Springer Verlag,
2010.
[17] D. Markovic, C.C. Wang, L.P. Alarcon, J.M.
Rabaey, Ultralow-power design in near-
threshold region, Proceedings of the IEEE
98 (2010) 237–252.
[18] B. Zhai, S. Hanson, D. Blaauw, D.
Sylvester, Analysis and mitigation of
variability in subthreshold design, in:
Proceedings of the 2005 International
Symposium on Low power Electronics and
Design,2005, pp. 20–25.
[19] N. Verma, J. Kwong, A.P. Chandrakasan,
Nanometer MOSFET variation in minimum
energy subthreshold circuits, IEEE
Transactions on Electron Devices55 (2008)
163–174.
[20] D.F. Finchelstein, V. Sze, M.E. Sinangil,
A.P. Chandrakasan, A 0.7-V 1.8-mW H.
264/AVC 720p video decoder, IEEE Journal
of Solid State Circuits 44 (2009) 2943–
2956.
[21] B.H. Calhoun, A. Wang, A. Chandrakasan,
Modeling and sizing for minimum energy
operation in subthreshold circuits, IEEE
Journal of Solid-State Circuits 40 (9) (2005)
1778–1786.

More Related Content

What's hot

A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleeSAT Publishing House
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...VLSICS Design
 
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
Design and analysis of optimized CORDIC based  GMSK system on FPGA platform Design and analysis of optimized CORDIC based  GMSK system on FPGA platform
Design and analysis of optimized CORDIC based GMSK system on FPGA platform IJECEIAES
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.VLSICS Design
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
 
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMVLSICS Design
 
Implementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRImplementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRIJERA Editor
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET Journal
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNScsandit
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd Iaetsd
 
A study to Design and comparison of Full Adder using Various Techniques
A study to Design and comparison of Full Adder using Various TechniquesA study to Design and comparison of Full Adder using Various Techniques
A study to Design and comparison of Full Adder using Various TechniquesIOSR Journals
 
Design of Low Power Reconfigurable IIR filter with Row Bypassing Multiplier
Design of Low Power Reconfigurable IIR filter with Row Bypassing MultiplierDesign of Low Power Reconfigurable IIR filter with Row Bypassing Multiplier
Design of Low Power Reconfigurable IIR filter with Row Bypassing MultiplierIRJET Journal
 

What's hot (19)

A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic style
 
Ramya Project
Ramya ProjectRamya Project
Ramya Project
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
 
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
Design and analysis of optimized CORDIC based  GMSK system on FPGA platform Design and analysis of optimized CORDIC based  GMSK system on FPGA platform
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.
Braun’s Multiplier Implementation using FPGA with Bypassing Techniques.
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
 
Implementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRImplementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSR
 
M367578
M367578M367578
M367578
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
 
J0166875
J0166875J0166875
J0166875
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutional
 
A study to Design and comparison of Full Adder using Various Techniques
A study to Design and comparison of Full Adder using Various TechniquesA study to Design and comparison of Full Adder using Various Techniques
A study to Design and comparison of Full Adder using Various Techniques
 
Ijciet 10 02_067
Ijciet 10 02_067Ijciet 10 02_067
Ijciet 10 02_067
 
Design of Low Power Reconfigurable IIR filter with Row Bypassing Multiplier
Design of Low Power Reconfigurable IIR filter with Row Bypassing MultiplierDesign of Low Power Reconfigurable IIR filter with Row Bypassing Multiplier
Design of Low Power Reconfigurable IIR filter with Row Bypassing Multiplier
 

Viewers also liked

Array multiplier using p mos based 3t xor cell
Array multiplier using p mos based 3t xor cellArray multiplier using p mos based 3t xor cell
Array multiplier using p mos based 3t xor cellAlexander Decker
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaAlexander Decker
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifhamAlexander Decker
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceAlexander Decker
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dAlexander Decker
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksAlexander Decker
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesAlexander Decker
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inAlexander Decker
 
Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Alexander Decker
 

Viewers also liked (9)

Array multiplier using p mos based 3t xor cell
Array multiplier using p mos based 3t xor cellArray multiplier using p mos based 3t xor cell
Array multiplier using p mos based 3t xor cell
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibia
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifham
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistance
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized d
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banks
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websites
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale in
 
Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...
 

Similar to Low power and area efficient 16-bit CLA adder design

Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsIOSR Journals
 
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET Journal
 
Reducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select AdderReducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select Adderpaperpublications3
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleIJEEE
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET Journal
 
Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adderIOSRJECE
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesIOSR Journals
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder CircuitsIRJET Journal
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET Journal
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd Iaetsd
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 

Similar to Low power and area efficient 16-bit CLA adder design (20)

Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder Circuits
 
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic StyleIRJET-  	  Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
IRJET- Analysis of Proposed Finfet based Full Adder using CMOS Logic Style
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 
Reducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select AdderReducing the Number Of Transistors In Carry Select Adder
Reducing the Number Of Transistors In Carry Select Adder
 
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder ModuleArea and Power Efficient Up-Down counter Design by Using Full Adder Module
Area and Power Efficient Up-Down counter Design by Using Full Adder Module
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
 
Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adder
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
Ce4301462465
Ce4301462465Ce4301462465
Ce4301462465
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome images
 
A0160106
A0160106A0160106
A0160106
 
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
IRJET-  	  Design of 1 Bit ALU using Various Full Adder CircuitsIRJET-  	  Design of 1 Bit ALU using Various Full Adder Circuits
IRJET- Design of 1 Bit ALU using Various Full Adder Circuits
 
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...IRJET-  	  Performance Evalution of Gate Diffusion Input and Modified Gate Di...
IRJET- Performance Evalution of Gate Diffusion Input and Modified Gate Di...
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 

Recently uploaded

Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 

Recently uploaded (20)

Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 

Low power and area efficient 16-bit CLA adder design

  • 1. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 8 | P a g e A Low power and area efficient CLA adder design using Full swing GDI technique Matcha Hemanth Kumar, Prof. Dr.S.M.VALI Dept. of Electronics and Communication Engineering MVGR College of Engineering Vizianagaram, India Dept. of Electronics and Communication Engineering MVGR College of Engineering Vizianagaram, India Abstract The low power VLSI design has an important role in designing of many electronic systems. While designing any combinational or sequential circuits, the important parameters like power consumption, implementation area, voltage leakage and performance of the circuit are to be considered. Design of area, high speed and power- efficient data path logic systems forms the largest areas of research in VLSI system design. This paper presents a low power Carry look ahead adder design using Full swing Gate diffusion (FS-GDI) technique. The proposed CLA implementation utilizes improved full-swing GDI F1 and F2 gates, which are the counterparts of standard CMOS NAND and NOR gates. The basic Gate Diffusion Input (GDI) logic style suffers from some practical limitations like swing degradation, fabrication complexity in standard CMOS process and bulk connections. These limitations can be overcome by Full swing GDI technique. The proposed technique utilizes a single swing restoration (SR) transistor to improve the output swing of F1 and F2 GDI gates. A 16-bit CLA is designed and Simulations are performed by Mentor graphics 130nm CMOS technology ELDO simulator. Simulation results have shown a greater reduction in delay, power dissipation and area. Index Terms: Full swing Gate Diffusion Input (FS-GDI), Low Power Design, Full swing 3T EXOR, delay, efficient area. I. Introduction Low power arithmetic circuits have become very prominent these days in VLSI industry. The rapid growth of portable electronics has made the adder circuit as the main building block in DSP processor. Adder is the main component of arithmetic unit. A Complex DSP system involves several adders. CLA is proved to have good performance which is using in high speed adders. High speed, high throughput, small silicon area and low power consumption are the main concerns in designing an efficient adder. Power consumption and area reduction of logic have become primary focuses of attention in VLSI digital design. Power and area directly affects the high performance systems as well as device size and cost. Since the introduction of the standard CMOS Logic in early 80s, many design solutions have been proposed to improve power dissipation, area and performance of digital VLSI chips. Gate Diffusion Input (GDI) design methodology was introduced as a promising alternative to Static CMOS Logic. Generally it was proposed for fabrication in Silicon on Insulator (SOI) and twin- well CMOS processes.GDI methodology allowed implementation of a wide range of complex logic functions by using only two transistors. This method is suitable for design of fast, low-power circuits, using a reduced number of transistors (as compared to CMOS and existing techniques), while improving logic level swing and static power characteristics and allowing simple top-down design by using small cell library. Recently, it was shown that any GDI circuit can be implemented in a standard CMOS process. Various combinational circuits, such as adders, multipliers, comparators, and counters, were implemented in processes from 0.8 micrometer down to 65 nanometer. But these GDI gates may suffer from threshold voltage drops which reduce current drive and therefore affect the performance of the gate. These drops also increase direct-path static power dissipation in the cascaded inverters, used for swing restoration. As an alternative Full swing GDI technique came into existence. The adverse effects can be significantly reduced by using swing restoration buffers. This paper presents an efficient methodology for digital circuit‘s implementation. The proposed methodology was applied to a 16-bit adder in low power standard 130 nm TSMC process. The proposed CLA implementation utilizes improved full-swing GDI F1 and F2 gates, which are the counterparts of standard CMOS NAND and NOR gates. The CLA presents a greater area and power reduction when compared to the conventional CMOS implementation. II. OVER VIEW OF GDI The GDI method is based on the use of a simple cell as shown in Fig. 1. The basic cell reminds one of RESEARCH ARTICLE OPEN ACCESS
  • 2. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 9 | P a g e the standard CMOS inverter, but there are some differences [4]. Figure 1: Basic GDI cell 1) The GDI cell contains three inputs: G (common gate input of nMOS and pMOS), P (input to the source/drain of pMOS), and N (input to the source/drain of nMOS). 2) Bulks of both nMOS and pMOS are connected to ground and Vdd (respectively), so it can be arbitrarily biased at contrast with a CMOS inverter. Multiple Boolean functions can be implemented by a simple GDI cell, as demonstrated in Table 1. This is achieved by a change of the input configuration of the GDI cell. While implementation of most of these functions is relatively complex (6– 12 transistors) in Static CMOS, it is very efficient (only 2 transistors) with the GDI cells. The Multiplexer (MUX) is the most complex function that can be implemented with a basic GDI cell, while being the most efficient function as compared to CMOS implementation. Table 1: Some logic functions that can be implemented with a basic GDI cell. N P G Output Function ‗0‘ B A BA F1 B ‗1‘ A BA  F2 ‗1‘ B A BA OR B ‗0‘ A AB AND C B A ACBA  MUX ‗0‘ ‗1‘ A A NOT The major drawback of the GDI technique is it suffers from threshold voltage drops which reduce current drive and therefore affect the performance of the gate and increase static power dissipation in the cascaded inverters, used for swing restoration. By using multiple Threshold transistors (Multi VTH) in swing restoration buffer the power dissipation can be reduced. The threshold voltage drops can also be minimized and full swing can be ensured by using swing restoration transistors in the Full swing GDI technique. III. Full swing gdi The proposed technique utilizes a single swing restoration (SR) transistor to improve the output swing of F1 and F2 GDI gates .Figure 2 shows the structure of full swing F1 and F2 cells. The SR transistor is activated only in cases when the Vth drop may occur at the output. Since in F1 and F2 gates, the output Vth drop can occur only at one of the logical levels (Vth instead of 0 V in F1, and Vdd–Vth instead of Vdd in F2), only a single SR transistor is required to ensure the full swing operation [1]. a) F1 cell b) F2 cell Figure 2: FS GDI gates The gate input signal of GDI cell has an inverted representation in the circuit; it can be used to control the swing restoring transistor. This transistor will have a diffusion input similar to the diffusion input of GDI, but will be of an opposite type (nMOS for F1, and pMOS for F2). In this manner, the diffusion input signal will pass through a pair of transistors of both types (in the transistor of original GDI cell, and the complementary SR transistor). The FS GDI cells are efficient alternative for swing restoration buffers, in designs where inverted signals can be obtained as part of logic function implementation. IV. PROPOSED 3T EXOR WITH FULL SWING The Ex-OR gates are the basic building blocks of various digital system applications like adder, comparator, and parity generator/checker and encryption processor. This paper proposes a 3 Transistor Ex-OR circuit which ensures full swing at the output [5]. The new Ex-OR with three transistors is proposed by modifying the existed 3T cross coupled Ex-OR gate to get full output voltage swing. This may be an alternative for 6 transistors EXOR gate used for achieving full swing at output in which less number of transistors can minimize the area and power dissipation. The proposed 3T model majorly reduces overall die area and power dissipation of the 16-bit CLA implemented. The figure 3 shows the proposed 3T EXOR which is implemented in 130nm process technology.
  • 3. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 10 | P a g e Figure 3: Proposed 3T EXOR with Full swing Simulation results have shown that the proposed 3 transistor EXOR model ensures Full swing at the output as shown in figure 4. Figure 4: Simulation results of 3T EXOR with Full swing V. CLA IMPLEMENTATION USING FS GDI The implementation was based mostly on F1 and F2 cells, assuming the logical functions F1= BA and F2= BA  The conventional architecture of CLA is shown in figure 5. The pg unit outputs were implemented as follows [1] The PG unit implementation is the following The local and global carry generator blocks are implemented according to The signals P1, G1 and P0, G0 represent the outputs from top hierarchy level CLA blocks. Figure 5: The general CLA architecture It can be clearly seen that FS GDI implementation have significant advantage in terms of transistors count and area, as compared to CMOS providing full swing and improved performance. It implies about 40% area increase as compared to Multi voltage threshold GDI (MVT GDI)[1]. Still, it occupies only half of area as compared to CMOS design. Figure 6: Proposed CLA architecture in 130nm technology VI. SIMULATION RESULTS AND ANALYSIS A new CLA adder using full swing GDI technique have been proposed in this paper as shown in figure 6. This adder is evaluated and compared to other GDI adders from the literature. In particular this CLA is implemented using Mentor graphics schematic and layout editor and extracted using 130nm CMOS technology. Simulations are carried out using ELDO, with the capacitances and resistances extracted from the layout. The adder is simulated with 100 MHz frequency and at 270 C and the supply voltages varying from 0.8 to 1.4V. The required input pattern- to-input-pattern transitions are included in the test patterns to obtain accurate result. Delay and Power dissipation are measured for the whole 16 bit adder.
  • 4. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 11 | P a g e a) b) Figure 7: Simulation waveforms of 16 bit CLA It was shown that the overall area and power dissipation has been significantly reduced when compared to other GDI techniques and conventional CMOS technology. The proposed model is compared with the previous models of GDI techniques and the conventional CMOS model. Figure 8: Layout of Proposed 16-bit CLA architecture An efficient decrease in the transistor count which results in the gradual decrease in the overall area is achieved by the proposed model. The proposed EXOR model with 3 transistors has reduced a total of 48 transistors in the whole circuit reducing the overall die area. Table 1: Transistor count and area estimation comparison between GDI and Static CMOS designs. Design Unit pg PG LCG CLA Last CLA EXO R TOTA L CMOS 18 (41) 18 (35) 12 (24) 30 (59) 34 (77) 192 (480) 934 (2039) MVT GDI 8 (12) 8 (12) 8 (12) 16 (24) 24 (36) 64 (93) 438 (657) FS GDI(6T EXOR) 11 (16) 11 (16) 10 (15) 21 (31) 31 (46) 96 (189) 627 (925) FS GDI(3T EXOR) 11 (16) 11 (16) 10 (15) 21 (31) 31 (46) 48 (94) 579 (831) Transistors count (Area [W min L min]). In general the CMOS design has the shortest delay among all adder implementations. The FS GDI implementation shows a 30% delay increase when compared to CMOS design. Whereas the MVT GDI is about three times slower than CMOS due to voltage drops. The proposed model shows better delay results when compared to the previous GDI techniques. Better performance results can be obtained by the FS GDI model through which the driving capability can be increased by using F1 and F2 cells. The power dissipation has been reduced prominently with the proposed model. Different types of adders and multipliers can be designed using the FS GDI technique. As an application a Carry skip adder (CSK) and a Carry select adder (CSL) are designed using the full swing GDI technique. Both the adders implemented were of 16 bit. Each and every module of the circuits is designed using the full swing approach. VII. CARRY SELECT ADDER (USING FS GDI TECHNIQUE) A 16 bit carry select adder (CSL) is designed using the proposed FS GDI methodology in 130 nm technology. Several internal modules like AND, OR, MUX, HA, FA are designed using the Full swing technique. The schematic of the proposed 16 bit CSL is shown in figure 9.
  • 5. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 12 | P a g e Figure 9: 16 bit CSL (using FS GDI technique) a) b) Figure 10: Simulation waveforms of 16 bit CSL VIII. CARRY SKIP ADDER (USING FS GDI TECHNIQUE) A 16 bit carry skip adder (CSK) is designed using the proposed FS GDI methodology in 130 nm technology. Several internal modules like RCA, OR, 5-INPUT AND are designed using the full swing approach. The schematic of the proposed 16 bit CSK is shown in figure 11. Figure 12: 16 bit CSK (using FS GDI technique) a) b) Figure 13: Simulation waveforms of 16 bit CSK The delay and power dissipation comparison of the 16 bit CLA and different other adder implementations is shown below Figure 14: Delay results of different adders 664.8 665.6 659.9 339.9 337.7 0 100 200 300 400 500 600 700 MVT GDI CLA FS GDI CLA (6T XOR) FS GDI CLA (3T XOR) FS GDI CSL FS GDI CSK DELAY (ps)
  • 6. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 13 | P a g e Figure 15: Power dissipation results of different adders Figure 16: Transistor count of different adders IX. CONCLUSIONS In this paper a low power 16 bit CLA adder using full swing GDI technique is proposed. A novel 3Transistor EXOR circuit which produces Full swing at its output is presented. The design and implementation of this CLA using 3T EXOR has shown better results of reduced area and power dissipation. This designed CLA adder circuit successfully operates at low voltages with high driving capability and excellent signal integrity. The adder is operated in low power 130nm CMOS technology and is studied for low power dissipation and reduced area models. Simulations have been carried out using Mentor Graphics ELDO simulator to evaluate the new design. Also different types of adders like Carry select adder and carry skip adder are designed using full swing GDI technique. A wide comparison is made for different designs and a significant improvement in terms of Delay, power dissipation and area parameters are illustrated. A large area reduction and reduction on switching activity is achieved significantly reducing the number of transistors in the circuit. The 16-bit CLA adder using Full swing GDI technique with optimized EXOR circuit produced better delay, reduced power dissipation and area results. REFERENCES [1] Arkadiy Morgenshtein, Viacheslav Yuzhaninov, Alexey Kovshilovsky, Alexander Fish ―Full-Swing Gate Diffusion Input logic—Case-study of low-power CLA adder design,‖ Integration,The VLSI Journal (Elsevier) 47 (2014) 62-70. [2] M. Alioto, Ultra-low power VLSI circuit design demystified and explained: atutorial, IEEE Transactions on Circuits and Systems—Part I (invited) 59 (1) (2012) 3– 29. [3] A. Morgenshtein, I. Shwartz, A. Fish, Gate diffusion input (GDI) logic in standard CMOS nanoscale process, in: Proceedings of IEEE Convention ofElectrical and Electronics Engineers in Israel, 2010. [4] A. Morgenshtein, A. Fish, I.A. Wagner, Gate-diffusion input (GDI)—a powerefficient method for digital combinatorial circuits, IEEE Transactions on VLSI Systems 10 (5) (2002). [5] Mrudula Singamsetti , Sarada Musala ―A Full swing Ex-OR/Ex-NOR Gate Circuit‖ International Journal of Engineering Trends and Technology (IJETT) – Volume 11 Number 6 - May 2014. [6] Bol D. Robust and energy-efficient ultra- low-voltage circuit design under timing constraints in 65/45 nm CMOS. Journal of Low Power Electronics and Applications 1 (1) (2011) 1–19. [7] G. Gammie, A. Wang, M. Chau, S. Gururajarao, R. Pitts, F. Jumel, S. Engel, P. Royannez, R. Lagerquist, H. Mair, A 45 nm 3.5 g baseband-and-multimedia application processor using adaptive body-bias and ultra-low-power techniques, in: Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2008, pp. 258–611. [8] K.K. Chaddha, R. Chandel, Design and analysis of a modified low power CMOS full adder using gate-diffusion input technique, Journal of Low PowerElectronics 6 (4) (2010) 482–490. [9] O.P. Hari, A.K. Mai, Low power and area efficient implementation of N-phase non overlapping clock generator using GDI technique, in: Proceedings of IEEE International Conference on Electronics Computer Technology (ICECT), 2011. [10] P.MLee, C.H. Hsu, Y.-H. Hung, Novel 10-T full adders realized by GDI structure, in: 595.3 79.6 41.1 226.4 126.6 0 100 200 300 400 500 600 700 MVT GDI CLA FS GDI CLA (6T XOR) FS GDI CLA (3T XOR) FS GDI CSL FS GDI CSK POWER DISSPATION (nw) POWER DISSPATION… 438 627 547 958 556 0 200 400 600 800 1000 1200 MVT GDI CLA FS GDI CLA (6T XOR) FS GDI CLA (3T XOR) FS GDI CSL FS GDI CSK NO.OF TRANSISTORS
  • 7. Matcha Hemanth Kumar Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 10, (Part - 1) October 2015, pp.08-14 www.ijera.com 14 | P a g e Proceedings of the IEEE International Symposium on Integrated Circuits, 2007. [11] F. Moradi, D.T. Wisland, D.T.H. Mahmoodi, H.S. Aunet, T.V. Cao, A. Peiravi, Ultra low power full adder topologies, in: Proceedings of ISCAS'04, Taipei, Taiwan, May 2009. [12] A. Morgenshtein, A. Fish, I.A. Wagner, An efficient implementation of D-flipflop using the GDI technique, in: Proceedings of ISCAS'04 Conference, Canada, May 2004, pp. 673–676. [13] R. Uma, P. Dhavachelvan, Modified gate diffusion input technique: a new technique for enhancing performance in full adder circuits, Proceedings of ICCCS 6 (2012) 74– 81. [14] A. Wang, B.H. Calhoun, A.P. Chandrakasan, Sub-Threshold Design for Ultra Low-Power Systems, Springer Verlag, 2006. [15] S. Fisher, A. Teman, D. Vaysman, A. Gertsman, O. Yadid-Pecht, A. Fish, Digital subthreshold logic design - motivation and challenges, in: Proceedings of the IEEE 25th Convention of Electrical and Electronics Engineers in Israel (IEEEI), vol. 3–5, 2008, pp. 702–706. [16] P.R. Panda, A. Shrivastava, P.R. Panda, B.V.N. Silpa, K. Gummidipudi, Power- Efficient System Design, Springer Verlag, 2010. [17] D. Markovic, C.C. Wang, L.P. Alarcon, J.M. Rabaey, Ultralow-power design in near- threshold region, Proceedings of the IEEE 98 (2010) 237–252. [18] B. Zhai, S. Hanson, D. Blaauw, D. Sylvester, Analysis and mitigation of variability in subthreshold design, in: Proceedings of the 2005 International Symposium on Low power Electronics and Design,2005, pp. 20–25. [19] N. Verma, J. Kwong, A.P. Chandrakasan, Nanometer MOSFET variation in minimum energy subthreshold circuits, IEEE Transactions on Electron Devices55 (2008) 163–174. [20] D.F. Finchelstein, V. Sze, M.E. Sinangil, A.P. Chandrakasan, A 0.7-V 1.8-mW H. 264/AVC 720p video decoder, IEEE Journal of Solid State Circuits 44 (2009) 2943– 2956. [21] B.H. Calhoun, A. Wang, A. Chandrakasan, Modeling and sizing for minimum energy operation in subthreshold circuits, IEEE Journal of Solid-State Circuits 40 (9) (2005) 1778–1786.