SlideShare a Scribd company logo
1 of 4
Download to read offline
International Journal of Trend in Scientific Research and Development (IJTSRD)
Volume 5 Issue 4, May-June 2021 Available Online: www.ijtsrd.com e-ISSN: 2456 – 6470
@ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1358
A Survey Paper on Leakage Power and Delay in CMOS Circuits
Vidhyasagar Chaudhary1, Dr. Neetesh Raghuwanshi2
1M Tech Scholar, 2Assistant Professor,
1,2EC Department, SRK University, Bhopal, Madhya Pradesh, India
ABSTRACT
Power consumption is one of the top issues of VLSI circuit design, for which
CMOS is the primary technology. Today’s focus on low power is not only
because of the recent growing demands of mobile applications. Even before
the mobile era, power consumption has beena fundamental problem.Tosolve
the power dissipation problem, many researchers have proposed different
ideas from the device level to the architectural level and above. However,
there is no universal way to avoid tradeoffs between power, delay and area
and thus, designers are required to choose appropriatetechniquesthatsatisfy
application and product needs. In this paper we studydifferentauthor’spaper
to relate to this problem and try to find out the best solution for future work.
KEYWORDS: VLSI, power consumption
How to cite this paper: Vidhyasagar
Chaudhary | Dr. Neetesh Raghuwanshi "A
Survey Paper on Leakage Power and
Delay in CMOS
Circuits"Publishedin
International Journal
of Trend in Scientific
Research and
Development(ijtsrd),
ISSN: 2456-6470,
Volume-5 | Issue-4,
June 2021, pp.1358-1361, URL:
www.ijtsrd.com/papers/ijtsrd43615.pdf
Copyright © 2021 by author (s) and
International Journal ofTrendinScientific
Research and Development Journal. This
is an Open Access article distributed
under the terms of
the Creative
Commons Attribution
License (CC BY 4.0)
(http: //creativecommons.org/licenses/by/4.0)
I. INTRODUCTION
As the technology scales downs, below 100nm, reduction of
power consumption and overall power management on
single chip have become primary design issue. Power
optimization is also important for many designstominimize
package cost and maximize battery back-up of system.
Today, electronic devices play a very importantrolein every
one’s day to day life. Devices such as mobile phone, ipad,
laptop, pocket calculator become necessity to live a
comfortable life. Consumer prefers portable and battery
powered electronic devices. Earlier in 1990’s, performance
and speed was the important parameters to design any
system but now with the growing trend towards portable
computing and wireless communication, power dissipation
has become one of the most critical factor in the continued
development of microelectronics technology [1]. Different
techniques have been studied to reduce these dissipations
such as Multiple Supply Voltage scheme, Multiple Threshold
Voltage method, Adaptive Body Biasing,TransistorStacking,
Power Gating, Gate Sizing, Clock Gating, Voltage and
Frequency Scaling etc.
II. LITERATURE SURVEY
This section presents an organized and comprehensive
literature survey in the area of power dissipation in digital
circuit design. Survey includes the different power
optimization methods at various level of digital circuit
design process from system level to physical level. Different
sources responsibleforpowerdissipationincomplementary
metal oxide semiconductor circuits are also reviewed.
Techniques to reduce the effect of such sources in different
research articles are discussed here.
AfshinAbdollahiet. al., describes two runtime methods in
their research paper to reduce leakage current in
Complementary metal oxide semiconductor circuits.Inboth
methods it is assumed that the device will produce a ‘sleep
signal’ to indicate the circuit is in standby mode. In first
method, pre-selected internal signals and a new set of
external inputs are shifted by ‘sleep’ signal into the circuits.
All internal signals are set to logic values to achieve
minimum total leakage current in the circuit. Since the
leakage current in a CMOS gate is mainly dependent on the
combinations of logic values applied to input signals. Hence
such method reduces leakage current in Complementary
metal oxide semiconductor device. In another method, to
increase the controllability of internal signal andtodecrease
the leakage current through the CMOS gates, the pMOS and
nMOS transistors are added to few gates in the circuit using
stacking mechanism. An experimental result of such
methods on combinational circuits reduces 25% of leakage
current and 5% of propagation delay. Similarly, on
sequential circuits, implementationofsuchmethods reduces
the leakage current by an average of 25% withpracticallyno
delay penalty.
Kaushik Roy et. Al, reviewed all causes of leakage current in
transistor that includes gate drain leakage, gate-oxide
tunneling, drain-induced barrier lowering and weak
inversion effect. They observed that the low threshold
voltages, gate leakage and sub-threshold voltages are the
dominant sources of leakage current in deep sub-micron
meter devices. Effect of such sources will increase with
technology scaling. The GIDL and BTBT (base to base
IJTSRD43615
International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470
@ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1359
tunneling) may also have a significant effect on advanced
CMOS devices. The solution should be considered both at
circuit level and processtechnologylevel indeepsub-micron
meter CMOS circuits.
A. Agarwal et.al, All internal signals are settologicvaluesto
achieve minimum total leakage current in the circuit. Since
the leakage current in a CMOS gate is mainly dependent on
the combinations of logic values applied to input signals.
Hence such method reduces leakage current in
Complementary metal oxide semiconductor device. In
another method, to increase the controllability of internal
signal and to decrease the leakagecurrentthroughtheCMOS
gates, the pMOS and nMOS transistorsareaddedtofewgates
in the circuit using stacking mechanism. An experimental
result of such methods on combinational circuits reduces
25% of leakage current and 5% of propagation delay.
Priti Shrivastava, Dr.Bharti Chourasiainthispaperauthor
discussed Approximate computing has received significant
attention as a promising strategy to enhanceperformance of
multiplication. Various arithmetic operations such as
multiplication addition, subtraction are important part of
digital circuit to speed up the computation speed of
processor[35]. This paper presents 32 bit approximate
multiplier for high speed and low delay for advance digital
signal processing. Previous it isdesignedat16bitforvarious
applications. Research work is focus on hardware-level
approximation by introducing the partial product
perforation technique and dadda multiplier for designing
approximate multiplication circuits. Xilinx 14.7 is used to
implementation with verilog programming language.[35]
Warren Shum and Jason H. Anderson, presented an
analysis of glitch power in FPGAs and a method for glitch
reduction using don’t-cares in logic synthesis. A novel glitch
reduction technique was presented that sets don’t-cares in
FPGA configuration bits in order to avoid glitch transitions.
This method is performed after placement and routing, and
has no effect on circuit area or performance.
Jing Yang and Yong-Bin Kim, describes two runtime
methods in their research paper toreduceleakagecurrentin
CMOS circuits. In both methods it is assumed that the device
will produce a ‘sleep signal’ to indicate the circuit is in
standby mode. In first method, pre-selected internal signals
and a new set of external inputs are shifted by ‘sleep’ signal
into the circuits. All internal signals are set to logic values to
achieve minimum total leakage current in the circuit. Since
the leakage current in a CMOS gate is mainly dependent on
the combinations of logic values applied to input signals.
Sheetal Sahani and Dr. Bharti Chourasia, This paper
presents a designmethodologyusingCMOStransistorfor the
architecture of full adder design with minimum number of
transistor i.e. reduced size and reduced area for low power
consumption in CMOS 90nm technology [29]. This is used to
implement low power full adder design for carrying out
summation of three different input bits. The circuit of low
power full adder is designed by using DSCH tool 2.7 &
analysis of the low power full adder design is done at room
temperature in CMOS 90 nm technology byusingMicrowind
tool 2.6.The result shows the comparison in CMOS
technology at 90 nm rule on the design in regards of power
dissipation, signal propagation delay, transistor counts and
power delay product. A comparison is also carried out by
some of the parameters taking into consideration like delay
of the low power full adder circuit design with the base
paper full adder design, which shows the advantage of the
proposed low power full adder design. We are using two
different simulation tools such as DSCH 2.7 for circuitdesign
and Micro-wind 2.6 for waveform simulation. Firstlythelow
power full adder circuit will be designed using DSCHtool 2.7
using 10 transistor maintaining W/L ratio 3:1. Then the
Verilog code of the design is made and the layout will be
made in CMOS 90 nm technology using micro-wind tool 2.6.
The low power full adder circuit using 10T design will be
simulated at room temperature in CMOS 90 nm technology
to compare different parameters like power consumption,
delay, PDP & transistor counts.[29]
Zia Abbas and MauroOlivieri this paper presented a
detailed study of leakage current mechanisms in CMOS
image sensors. They investigated reverse current–voltage
characteristics of sensors at 0.045 µm CMOS technology.
They conclude that in p-n junction of MOS transistor,
tunneling and ionization impact are the dominant
mechanisms for leakage current. Similarly thermal
Shockley–Read–Hall generation is the main leakage source
for n-well/p-well of transistor.
Md Dilnawaz Hansala and Dr. Neetesh Raghuwanshi,
discussed Multipliers play a vital job in the present digital
signal handling and different applications[34]. With
advances in innovation, numerousscientistshaveattempted
and are endeavoring to structure multipliers which offer
both of the following plan targets – high speed, low power
utilization, consistency of design and henceforthlesszoneor
even mix of them in one multiplier in this way making them
appropriate for different highspeed,lowpowerandminimal
VLSI usage.[34]
III. Problem Formulation
For designing any digital circuits, the main performance
parameters are power, delay and area. Circuit which
consume low power, less delay and occupies less area is
considered as best circuit. Portability imposes a strict
limitation on power dissipation with the demands of high
computational speeds. Therefore, in present VLSI digital
systems the power-delay product becomes the most
important metric ofperformance[3].Thedefinitionsofthese
parameters are:
An Average Power dissipated by the device is defined as
the energy dissipated per unit time and the energy
needed for a given process is the integral of the power
dissipated over the operation time. The instantaneous
power is drawn from the power supply is proportional
to the supply current and the supply voltage.
In digital electronics, the PropagationDelayisthelength
of time which starts when the input to a logic
gate becomes stable and valid, to the time that the
output of that logic gate is stable and valid. Generally it
is consider for the time needed for the output to achieve
from 10% to 90% of its final output level whentheinput
state changes [11]. Reducing propagation delays
in digital circuits allows them to process data at a faster
rate and improve overall performance.
The Area of digital circuit can be measure by counting
the total number of transistor used. After designing
layout of circuit, the product of length and width of the
circuit is considered as total area occupied bythecircuit.
Another important parameter to check theperformance
of digital circuit is its Power-Delay Product which is
International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470
@ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1360
considered as a figure of merit that correlate the energy
efficiency of a digital logic gate. It is the product of
average power consumption andtheinput-outputdelay.
It has the dimension of energy, andmeasuresthe energy
consumed per switching event [1].
Other important parameter is Leakage current,Leakage
current (ILeak) is exponential increases, due to
reduction in VTH, L and TOX of the transistor. ILeak
consist of mainly threecurrentslikeISUB,IGATE,IBTBT.
Prime objective is to reduce this leakage in idle mode of
the portable electronic devices. No switching activity is
performed in this mode.
The Leakage power in digital circuits, one common
problem of low swing output occurs in all logic
techniques exceptstandardComplementarymetal oxide
semiconductor technique. Due to low threshold voltage
of transistors, drop occurs at the output voltage i.e.,
output does not reach to the valid high/low voltage
level. The technology is continuously and rapidly
evolving the production of smaller systems with
minimized power dissipation; the IC industry is facing
major challenges due to constraints on power density
(W/cm2) and high static (standby) and dynamic
(operating) power dissipation [13-16]. The key to
overcome these challenges lies in improvements in
design, material and manufacturing processes.
IV. CONCLUSION:
From the literature survey, it is found that the three main
sources of power dissipation in Complementary metal oxide
semiconductor are: Static, Dynamic and Short Circuit power
dissipation [13-14]. Static power dissipation occurs when
the transistors are at steady state, either in ON state or in
OFF state, whereas dynamic and short circuit power
dissipation occurs during switching from one state to other
state. Hence dynamic and short circuitpowerdissipation are
jointly called switching power dissipation.The reasons for
static or leakage power dissipation are leakage currents,
reverse biased currents and substrate injection currents,
which flow through the transistors in its steady states.
Switching power dissipation arises due to the charging and
discharging of output load capacitance during switching.As
discussed earlier in this paper that the Sleepy keeper
approach has an advantage of having less delay but it needs
additional control circuitisneeded.Althoughstack approach
is easy to implement and having less leakagebutmoredelay.
Therefore, in order to minimize the delay and leakage
simultaneously, hybrids approach of basic gate designing
which takes the advantage of both Sleepy keeper and Sleepy
Stack. This new hybrid leakage reduction technique
combines sleepy technique with sleepy stack transistor.
References
[1] J. S. Denker “A review of adiabatic computing,” IEEE
Symposium on Low Power Electronics, San Diego,pp.
94–97, 1994.
[2] S. Hemantha, A. Dhawan and K. Haranath, “Multi-
threshold CMOS design forlowpowerdigital circuits,”
IEEE Region 10 Conference on TENCON, pp. 1-5,
2008.
[3] Y. Moon and D. K. Jeong, “An efficient charge recovery
logic circuit,” IEE E Journal of Solid State Circuits, Vol.
31, pp. 514-522, 1996.
[4] A. Kramer, J. S. Denker et al., “2nd order adiabatic
computing with 2N-2N and 2N-2N2P logic circuits,”
Proc. Intern. Symp. Low Power Design, pp. 191-196,
1995.
[5] Vetuli, S. Di Pascoli and L. M. Reyneri, “Positive
feedback in adiabatic logic,” Electronics Letters, Vol.
32, No. 20, pp. 1867, Sep. 1996.
[6] Blotti, S. D. Pascoli and R. Saletti, “Simple model for
positive feedback adiabatic logic power consumption
estimation,” Electronics Letters. Vol. 36, No. 2, pp.
116-118 Jan, 2000.
[7] K. Roy and Y. Ye, ” ow Power Circuit Design using
Adiabatic Switching Principle”, ECE Technical
Reports, Purdue University, Indiana, pp. 1189-1192,
1996.
[8] A. Chaudhary, M. Saha, M. Bhowmiket. al.,
"Implementation of Circuit In Different Adiabatic
Logic, "IEEE Sponsored 2nd International Conference
On Electronics And Communication System, ICECS,
2015.
[9] M. Sanadhya and M. V. Kumar, "Recent Development
in Efficient Adiabatic Logic Circuits and Power
Analysis with CMOS Logic," 3rd International
Conference on Recent Trends in Computing, Vol. 57,
pp. 1299-1307, 2015.
[10] W. C. Athas, J. G. Koller and L. J. Svensson, "An Energy-
Efficient CMOSLineDriverUsingAdiabaticSwitching,"
Information Sciences Institute, July 1993.
[11] D. Shinghal, A. Saxena and A. Noor, “Adiabatic Logic
Circuits: A retrospective,”MITInternational Journal of
Electronics and Communication Engineering, Vol. 3,
No. 2, pp. 108–114, August 2018.
[12] S. P. Kushawaha and T. N. Sasamal, “Modified Positive
Feedback Adiabatic Logic for Ultra Low Power VLSI,”
IEEE International Conference on Computer,
Communication and Control, 2018.
[13] M. L. Keote and P. T. karule, "Design and
Implementation of Energy Efficient Adiabatic ECRL
and Basic Gates, " International Conference on Soft
Computing Techniques and Implementations-
(ICSCTI) Faridabad, India, Oct 8-10, 2015.
[14] P. Bhati and N. Z. Rizvi, "Adiabatic Logic: An
Alternative Approach To Low Power Application
Circuits," International Conference on Electrical,
Electronics, and Optimization Techniques, 2019.
[15] A. Abba and K. Amarender, "Improved Power Gating
Technique for Leakage Power Reduction, "
International Journal OfEngineeringAndScience,Vol.
4, pp. 06-10, Issue 10, October 2019.
[16] V. K. Madasu and B. Kedharnath, "Leakage Power
Reduction by Using Sleep Methods," International
Journal Of Engineering And Computer Science, Vol. 2,
pp. 2842-2847, Issue 9, September 2013.
[17] S. Konwar, T. B. Singha, S. Roy and R. H. Vanlalchaka,
"Adiabatic Logic Based Low Power Multiplexer and
Demultiplexer," 2014 International Conference on
Computer Communication and Informatics,
Coimbatore, India, Jan. 03–05, 2014.
International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470
@ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1361
[18] S. Goyal, G. Singh and P. Sharma, "Variation of Power
Dissipation for Adiabatic CMOS and Conventional
CMOS Digital Circuits," IEEE Sponsored 2nd
International Conference on Electronics And
Communication System, 2015.
[19] P. Sheokand, G. Bhargave, S. Pandey and J. Kaur, "A
New Energy Efficient Two Phase Adiabatic Logic for
Low Power VLSI Applications," International
Conference on Signal Processing, Computing and
Control, 2015.
[20] M. Morrison, "Theory, Synthesis, and Application of
Adiabatic and Reversible Logic Circuits for Security
Applications," IEEE Computer Society Annual
Symposium on VLSI, 2014.
[21] S. Heo and K. Asanovic, “Leakage-Biased Dynamic
Fine-Grain Leakage Reduction,” Proceedings of the
IEEE International Symposium on VLSI Circuits, pp.
316-319, June 2002.
[22] Denker J S. “A review of adiabatic computing,” In:
IEEE Symposium on Low Power Electronics, San
Diego, 1994. 94–97
[23] Calhoun B H, Khanna S, Mann R, et al. Sub-threshold
circuit design with shrinking CMOS devices. In: IEEE
International Symposium on Circuits and Systems,
Taipei, 2009. 2541–2544
[24] Hemantha S, Dhawan A, Haranath K. “Multi-threshold
CMOS design for low power digital circuits,” In: 2008
IEEE Region 10 Conference on TENCON, Hyderabad,
2008. 1–5
[25] Prasad D. Khandekar, ShailaSubbaraman, and Abhijit
V. Chitre, “ Implementation and Analysis of Quasi-
Adiabatic Inverters”, Proceedings oftheInternational
Multi Conference of Engineers and Computer
Scientists 2010, Vol. II, IMECS 2010, March 17 - 19,
2010, Hong Kong
[26] Moon Y, Jeong D K. An efficient charge recovery logic
circuit. IEEE J Solid-State Circuits, 1996, 31: 514–522
[27] Sheetal Sahani and Dr. Bharti Chourasia, “Design and
Analysis of Low Power Consumption I0T Full Adder
Circuit”, IJSRET Volume 6 Issue 5, Sep-Oct-2020
[28] Aruna Rani, Poonam Kadam, “Adiabatic Split Level
Charge Recovery Logic Circuit”, International Journal
of Computer Applications, Vol. 65– No. 25, March
2013.
[29] Bhakti Patel, Poonam Kadam, “Comparative Analysis
of Adiabatic Logic Techniques” International Journal
of Computer Applications (0975 – 8887).
[30] Kramer A, Denker J. S., “Second order adiabatic
computation with 2N-2P and 2N-2N2P logic circuits”,
Low Power Design, 1995, pp. 191 – 196
[31] Kramer, A., Denker, J. S., Flower, B., &Moroney, J.
(1995). “2nd order adiabatic computationwith2N-2P
and 2N- 2N2P logic circuits,” Proceedings of the 1995
international symposium on Low power design. 191-
196. Doi:10. 1145/224081. 224115.
[32] Md Dilnawaz Hansala, Dr. Neetesh Raghuwanshi, “A
Design and Performance Analysis of 64-bit Multiplier
based on RoundingBasedApproximationTechnique”,
IJSRET Volume 6 Issue 5, Sep-Oct-2020
[33] Priti Shrivastava, Dr. Bharti Chourasia,
“Implementation of Power Area Efficient 32-Bit
Approximate Multiplier with Improved Accuracy”,
IJSRET Volume 6 Issue 5, Sep-Oct-2020

More Related Content

What's hot

CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESijmnct
 
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESijmnct_journal
 
1401 he computer-and-elec-eng-40
1401 he computer-and-elec-eng-401401 he computer-and-elec-eng-40
1401 he computer-and-elec-eng-40Haftamu Hailu
 
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...Dalton Valadares
 
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...IJECEIAES
 
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...IRJET Journal
 
IRJET - Energy Efficient Approach for Data Aggregation in IoT
IRJET -  	  Energy Efficient Approach for Data Aggregation in IoTIRJET -  	  Energy Efficient Approach for Data Aggregation in IoT
IRJET - Energy Efficient Approach for Data Aggregation in IoTIRJET Journal
 
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET Journal
 
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic Controller
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic ControllerIRJET - Design and Simulation of DSTATCOM using Fuzzy Logic Controller
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic ControllerIRJET Journal
 
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...IJERA Editor
 
3. journal paper nov 10, 2017 ( dhyan)
3. journal paper nov 10, 2017 ( dhyan)3. journal paper nov 10, 2017 ( dhyan)
3. journal paper nov 10, 2017 ( dhyan)IAESIJEECS
 
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoT
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoTIRJET- Energy Efficient Technique to Reduce Energy Consumption in IoT
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoTIRJET Journal
 
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOS
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOSENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOS
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOSIAEME Publication
 

What's hot (17)

CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
 
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
 
1401 he computer-and-elec-eng-40
1401 he computer-and-elec-eng-401401 he computer-and-elec-eng-40
1401 he computer-and-elec-eng-40
 
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...
Towards 802.11g Signal Strength Estimation in an Industrial Environment: a Pr...
 
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...
Novel Optimization to Reduce Power Drainage in Mobile Devices for Multicarrie...
 
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...
Assessment to Delegate the Task to Cloud for Increasing Energy Efficiency of ...
 
IRJET - Energy Efficient Approach for Data Aggregation in IoT
IRJET -  	  Energy Efficient Approach for Data Aggregation in IoTIRJET -  	  Energy Efficient Approach for Data Aggregation in IoT
IRJET - Energy Efficient Approach for Data Aggregation in IoT
 
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
IRJET- DOE to Minimize the Energy Consumption of RPL Routing Protocol in IoT ...
 
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic Controller
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic ControllerIRJET - Design and Simulation of DSTATCOM using Fuzzy Logic Controller
IRJET - Design and Simulation of DSTATCOM using Fuzzy Logic Controller
 
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...
A Novel Weighted Clustering Based Approach for Improving the Wireless Sensor ...
 
3. journal paper nov 10, 2017 ( dhyan)
3. journal paper nov 10, 2017 ( dhyan)3. journal paper nov 10, 2017 ( dhyan)
3. journal paper nov 10, 2017 ( dhyan)
 
D4461045416
D4461045416D4461045416
D4461045416
 
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoT
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoTIRJET- Energy Efficient Technique to Reduce Energy Consumption in IoT
IRJET- Energy Efficient Technique to Reduce Energy Consumption in IoT
 
Gu3511991202
Gu3511991202Gu3511991202
Gu3511991202
 
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOS
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOSENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOS
ENERGY EFFICIENT ROUTING IN WIRELESS SENSOR NETWORKS BASED ON QOS
 
IRJET- Redtacton
IRJET- RedtactonIRJET- Redtacton
IRJET- Redtacton
 
IJARCCE 115_2
IJARCCE 115_2IJARCCE 115_2
IJARCCE 115_2
 

Similar to A Survey Paper on Leakage Power and Delay in CMOS Circuits

Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip DesignBryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...Nathan Mathis
 
Glitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsGlitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsVLSICS Design
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET Journal
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...IRJET Journal
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET Journal
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd Iaetsd
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...ijsrd.com
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
 
Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...IJECEIAES
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNVLSICS Design
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technologyijtsrd
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 

Similar to A Survey Paper on Leakage Power and Delay in CMOS Circuits (20)

Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
Glitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsGlitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital Circuits
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
 
Ce4301462465
Ce4301462465Ce4301462465
Ce4301462465
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
P358387
P358387P358387
P358387
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
 
Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...Multi-objective Pareto front and particle swarm optimization algorithms for p...
Multi-objective Pareto front and particle swarm optimization algorithms for p...
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 

More from ijtsrd

‘Six Sigma Technique’ A Journey Through its Implementation
‘Six Sigma Technique’ A Journey Through its Implementation‘Six Sigma Technique’ A Journey Through its Implementation
‘Six Sigma Technique’ A Journey Through its Implementationijtsrd
 
Edge Computing in Space Enhancing Data Processing and Communication for Space...
Edge Computing in Space Enhancing Data Processing and Communication for Space...Edge Computing in Space Enhancing Data Processing and Communication for Space...
Edge Computing in Space Enhancing Data Processing and Communication for Space...ijtsrd
 
Dynamics of Communal Politics in 21st Century India Challenges and Prospects
Dynamics of Communal Politics in 21st Century India Challenges and ProspectsDynamics of Communal Politics in 21st Century India Challenges and Prospects
Dynamics of Communal Politics in 21st Century India Challenges and Prospectsijtsrd
 
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...ijtsrd
 
The Impact of Digital Media on the Decentralization of Power and the Erosion ...
The Impact of Digital Media on the Decentralization of Power and the Erosion ...The Impact of Digital Media on the Decentralization of Power and the Erosion ...
The Impact of Digital Media on the Decentralization of Power and the Erosion ...ijtsrd
 
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...ijtsrd
 
Problems and Challenges of Agro Entreprenurship A Study
Problems and Challenges of Agro Entreprenurship A StudyProblems and Challenges of Agro Entreprenurship A Study
Problems and Challenges of Agro Entreprenurship A Studyijtsrd
 
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...ijtsrd
 
The Impact of Educational Background and Professional Training on Human Right...
The Impact of Educational Background and Professional Training on Human Right...The Impact of Educational Background and Professional Training on Human Right...
The Impact of Educational Background and Professional Training on Human Right...ijtsrd
 
A Study on the Effective Teaching Learning Process in English Curriculum at t...
A Study on the Effective Teaching Learning Process in English Curriculum at t...A Study on the Effective Teaching Learning Process in English Curriculum at t...
A Study on the Effective Teaching Learning Process in English Curriculum at t...ijtsrd
 
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...ijtsrd
 
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...ijtsrd
 
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadiku
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. SadikuSustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadiku
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadikuijtsrd
 
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...ijtsrd
 
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...ijtsrd
 
Activating Geospatial Information for Sudans Sustainable Investment Map
Activating Geospatial Information for Sudans Sustainable Investment MapActivating Geospatial Information for Sudans Sustainable Investment Map
Activating Geospatial Information for Sudans Sustainable Investment Mapijtsrd
 
Educational Unity Embracing Diversity for a Stronger Society
Educational Unity Embracing Diversity for a Stronger SocietyEducational Unity Embracing Diversity for a Stronger Society
Educational Unity Embracing Diversity for a Stronger Societyijtsrd
 
Integration of Indian Indigenous Knowledge System in Management Prospects and...
Integration of Indian Indigenous Knowledge System in Management Prospects and...Integration of Indian Indigenous Knowledge System in Management Prospects and...
Integration of Indian Indigenous Knowledge System in Management Prospects and...ijtsrd
 
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...DeepMask Transforming Face Mask Identification for Better Pandemic Control in...
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...ijtsrd
 
Streamlining Data Collection eCRF Design and Machine Learning
Streamlining Data Collection eCRF Design and Machine LearningStreamlining Data Collection eCRF Design and Machine Learning
Streamlining Data Collection eCRF Design and Machine Learningijtsrd
 

More from ijtsrd (20)

‘Six Sigma Technique’ A Journey Through its Implementation
‘Six Sigma Technique’ A Journey Through its Implementation‘Six Sigma Technique’ A Journey Through its Implementation
‘Six Sigma Technique’ A Journey Through its Implementation
 
Edge Computing in Space Enhancing Data Processing and Communication for Space...
Edge Computing in Space Enhancing Data Processing and Communication for Space...Edge Computing in Space Enhancing Data Processing and Communication for Space...
Edge Computing in Space Enhancing Data Processing and Communication for Space...
 
Dynamics of Communal Politics in 21st Century India Challenges and Prospects
Dynamics of Communal Politics in 21st Century India Challenges and ProspectsDynamics of Communal Politics in 21st Century India Challenges and Prospects
Dynamics of Communal Politics in 21st Century India Challenges and Prospects
 
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...
Assess Perspective and Knowledge of Healthcare Providers Towards Elehealth in...
 
The Impact of Digital Media on the Decentralization of Power and the Erosion ...
The Impact of Digital Media on the Decentralization of Power and the Erosion ...The Impact of Digital Media on the Decentralization of Power and the Erosion ...
The Impact of Digital Media on the Decentralization of Power and the Erosion ...
 
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...
Online Voices, Offline Impact Ambedkars Ideals and Socio Political Inclusion ...
 
Problems and Challenges of Agro Entreprenurship A Study
Problems and Challenges of Agro Entreprenurship A StudyProblems and Challenges of Agro Entreprenurship A Study
Problems and Challenges of Agro Entreprenurship A Study
 
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...
Comparative Analysis of Total Corporate Disclosure of Selected IT Companies o...
 
The Impact of Educational Background and Professional Training on Human Right...
The Impact of Educational Background and Professional Training on Human Right...The Impact of Educational Background and Professional Training on Human Right...
The Impact of Educational Background and Professional Training on Human Right...
 
A Study on the Effective Teaching Learning Process in English Curriculum at t...
A Study on the Effective Teaching Learning Process in English Curriculum at t...A Study on the Effective Teaching Learning Process in English Curriculum at t...
A Study on the Effective Teaching Learning Process in English Curriculum at t...
 
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...
The Role of Mentoring and Its Influence on the Effectiveness of the Teaching ...
 
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...
Design Simulation and Hardware Construction of an Arduino Microcontroller Bas...
 
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadiku
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. SadikuSustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadiku
Sustainable Energy by Paul A. Adekunte | Matthew N. O. Sadiku | Janet O. Sadiku
 
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...
Concepts for Sudan Survey Act Implementations Executive Regulations and Stand...
 
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...
Towards the Implementation of the Sudan Interpolated Geoid Model Khartoum Sta...
 
Activating Geospatial Information for Sudans Sustainable Investment Map
Activating Geospatial Information for Sudans Sustainable Investment MapActivating Geospatial Information for Sudans Sustainable Investment Map
Activating Geospatial Information for Sudans Sustainable Investment Map
 
Educational Unity Embracing Diversity for a Stronger Society
Educational Unity Embracing Diversity for a Stronger SocietyEducational Unity Embracing Diversity for a Stronger Society
Educational Unity Embracing Diversity for a Stronger Society
 
Integration of Indian Indigenous Knowledge System in Management Prospects and...
Integration of Indian Indigenous Knowledge System in Management Prospects and...Integration of Indian Indigenous Knowledge System in Management Prospects and...
Integration of Indian Indigenous Knowledge System in Management Prospects and...
 
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...DeepMask Transforming Face Mask Identification for Better Pandemic Control in...
DeepMask Transforming Face Mask Identification for Better Pandemic Control in...
 
Streamlining Data Collection eCRF Design and Machine Learning
Streamlining Data Collection eCRF Design and Machine LearningStreamlining Data Collection eCRF Design and Machine Learning
Streamlining Data Collection eCRF Design and Machine Learning
 

Recently uploaded

Meghan Sutherland In Media Res Media Component
Meghan Sutherland In Media Res Media ComponentMeghan Sutherland In Media Res Media Component
Meghan Sutherland In Media Res Media ComponentInMediaRes1
 
Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementmkooblal
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
Full Stack Web Development Course for Beginners
Full Stack Web Development Course  for BeginnersFull Stack Web Development Course  for Beginners
Full Stack Web Development Course for BeginnersSabitha Banu
 
Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...jaredbarbolino94
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxRaymartEstabillo3
 
CELL CYCLE Division Science 8 quarter IV.pptx
CELL CYCLE Division Science 8 quarter IV.pptxCELL CYCLE Division Science 8 quarter IV.pptx
CELL CYCLE Division Science 8 quarter IV.pptxJiesonDelaCerna
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17Celine George
 
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,Virag Sontakke
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
DATA STRUCTURE AND ALGORITHM for beginners
DATA STRUCTURE AND ALGORITHM for beginnersDATA STRUCTURE AND ALGORITHM for beginners
DATA STRUCTURE AND ALGORITHM for beginnersSabitha Banu
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerunnathinaik
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 

Recently uploaded (20)

Meghan Sutherland In Media Res Media Component
Meghan Sutherland In Media Res Media ComponentMeghan Sutherland In Media Res Media Component
Meghan Sutherland In Media Res Media Component
 
Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of management
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
ESSENTIAL of (CS/IT/IS) class 06 (database)
ESSENTIAL of (CS/IT/IS) class 06 (database)ESSENTIAL of (CS/IT/IS) class 06 (database)
ESSENTIAL of (CS/IT/IS) class 06 (database)
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
Full Stack Web Development Course for Beginners
Full Stack Web Development Course  for BeginnersFull Stack Web Development Course  for Beginners
Full Stack Web Development Course for Beginners
 
Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
 
CELL CYCLE Division Science 8 quarter IV.pptx
CELL CYCLE Division Science 8 quarter IV.pptxCELL CYCLE Division Science 8 quarter IV.pptx
CELL CYCLE Division Science 8 quarter IV.pptx
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17
 
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
DATA STRUCTURE AND ALGORITHM for beginners
DATA STRUCTURE AND ALGORITHM for beginnersDATA STRUCTURE AND ALGORITHM for beginners
DATA STRUCTURE AND ALGORITHM for beginners
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developer
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
9953330565 Low Rate Call Girls In Rohini Delhi NCR
9953330565 Low Rate Call Girls In Rohini  Delhi NCR9953330565 Low Rate Call Girls In Rohini  Delhi NCR
9953330565 Low Rate Call Girls In Rohini Delhi NCR
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 

A Survey Paper on Leakage Power and Delay in CMOS Circuits

  • 1. International Journal of Trend in Scientific Research and Development (IJTSRD) Volume 5 Issue 4, May-June 2021 Available Online: www.ijtsrd.com e-ISSN: 2456 – 6470 @ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1358 A Survey Paper on Leakage Power and Delay in CMOS Circuits Vidhyasagar Chaudhary1, Dr. Neetesh Raghuwanshi2 1M Tech Scholar, 2Assistant Professor, 1,2EC Department, SRK University, Bhopal, Madhya Pradesh, India ABSTRACT Power consumption is one of the top issues of VLSI circuit design, for which CMOS is the primary technology. Today’s focus on low power is not only because of the recent growing demands of mobile applications. Even before the mobile era, power consumption has beena fundamental problem.Tosolve the power dissipation problem, many researchers have proposed different ideas from the device level to the architectural level and above. However, there is no universal way to avoid tradeoffs between power, delay and area and thus, designers are required to choose appropriatetechniquesthatsatisfy application and product needs. In this paper we studydifferentauthor’spaper to relate to this problem and try to find out the best solution for future work. KEYWORDS: VLSI, power consumption How to cite this paper: Vidhyasagar Chaudhary | Dr. Neetesh Raghuwanshi "A Survey Paper on Leakage Power and Delay in CMOS Circuits"Publishedin International Journal of Trend in Scientific Research and Development(ijtsrd), ISSN: 2456-6470, Volume-5 | Issue-4, June 2021, pp.1358-1361, URL: www.ijtsrd.com/papers/ijtsrd43615.pdf Copyright © 2021 by author (s) and International Journal ofTrendinScientific Research and Development Journal. This is an Open Access article distributed under the terms of the Creative Commons Attribution License (CC BY 4.0) (http: //creativecommons.org/licenses/by/4.0) I. INTRODUCTION As the technology scales downs, below 100nm, reduction of power consumption and overall power management on single chip have become primary design issue. Power optimization is also important for many designstominimize package cost and maximize battery back-up of system. Today, electronic devices play a very importantrolein every one’s day to day life. Devices such as mobile phone, ipad, laptop, pocket calculator become necessity to live a comfortable life. Consumer prefers portable and battery powered electronic devices. Earlier in 1990’s, performance and speed was the important parameters to design any system but now with the growing trend towards portable computing and wireless communication, power dissipation has become one of the most critical factor in the continued development of microelectronics technology [1]. Different techniques have been studied to reduce these dissipations such as Multiple Supply Voltage scheme, Multiple Threshold Voltage method, Adaptive Body Biasing,TransistorStacking, Power Gating, Gate Sizing, Clock Gating, Voltage and Frequency Scaling etc. II. LITERATURE SURVEY This section presents an organized and comprehensive literature survey in the area of power dissipation in digital circuit design. Survey includes the different power optimization methods at various level of digital circuit design process from system level to physical level. Different sources responsibleforpowerdissipationincomplementary metal oxide semiconductor circuits are also reviewed. Techniques to reduce the effect of such sources in different research articles are discussed here. AfshinAbdollahiet. al., describes two runtime methods in their research paper to reduce leakage current in Complementary metal oxide semiconductor circuits.Inboth methods it is assumed that the device will produce a ‘sleep signal’ to indicate the circuit is in standby mode. In first method, pre-selected internal signals and a new set of external inputs are shifted by ‘sleep’ signal into the circuits. All internal signals are set to logic values to achieve minimum total leakage current in the circuit. Since the leakage current in a CMOS gate is mainly dependent on the combinations of logic values applied to input signals. Hence such method reduces leakage current in Complementary metal oxide semiconductor device. In another method, to increase the controllability of internal signal andtodecrease the leakage current through the CMOS gates, the pMOS and nMOS transistors are added to few gates in the circuit using stacking mechanism. An experimental result of such methods on combinational circuits reduces 25% of leakage current and 5% of propagation delay. Similarly, on sequential circuits, implementationofsuchmethods reduces the leakage current by an average of 25% withpracticallyno delay penalty. Kaushik Roy et. Al, reviewed all causes of leakage current in transistor that includes gate drain leakage, gate-oxide tunneling, drain-induced barrier lowering and weak inversion effect. They observed that the low threshold voltages, gate leakage and sub-threshold voltages are the dominant sources of leakage current in deep sub-micron meter devices. Effect of such sources will increase with technology scaling. The GIDL and BTBT (base to base IJTSRD43615
  • 2. International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470 @ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1359 tunneling) may also have a significant effect on advanced CMOS devices. The solution should be considered both at circuit level and processtechnologylevel indeepsub-micron meter CMOS circuits. A. Agarwal et.al, All internal signals are settologicvaluesto achieve minimum total leakage current in the circuit. Since the leakage current in a CMOS gate is mainly dependent on the combinations of logic values applied to input signals. Hence such method reduces leakage current in Complementary metal oxide semiconductor device. In another method, to increase the controllability of internal signal and to decrease the leakagecurrentthroughtheCMOS gates, the pMOS and nMOS transistorsareaddedtofewgates in the circuit using stacking mechanism. An experimental result of such methods on combinational circuits reduces 25% of leakage current and 5% of propagation delay. Priti Shrivastava, Dr.Bharti Chourasiainthispaperauthor discussed Approximate computing has received significant attention as a promising strategy to enhanceperformance of multiplication. Various arithmetic operations such as multiplication addition, subtraction are important part of digital circuit to speed up the computation speed of processor[35]. This paper presents 32 bit approximate multiplier for high speed and low delay for advance digital signal processing. Previous it isdesignedat16bitforvarious applications. Research work is focus on hardware-level approximation by introducing the partial product perforation technique and dadda multiplier for designing approximate multiplication circuits. Xilinx 14.7 is used to implementation with verilog programming language.[35] Warren Shum and Jason H. Anderson, presented an analysis of glitch power in FPGAs and a method for glitch reduction using don’t-cares in logic synthesis. A novel glitch reduction technique was presented that sets don’t-cares in FPGA configuration bits in order to avoid glitch transitions. This method is performed after placement and routing, and has no effect on circuit area or performance. Jing Yang and Yong-Bin Kim, describes two runtime methods in their research paper toreduceleakagecurrentin CMOS circuits. In both methods it is assumed that the device will produce a ‘sleep signal’ to indicate the circuit is in standby mode. In first method, pre-selected internal signals and a new set of external inputs are shifted by ‘sleep’ signal into the circuits. All internal signals are set to logic values to achieve minimum total leakage current in the circuit. Since the leakage current in a CMOS gate is mainly dependent on the combinations of logic values applied to input signals. Sheetal Sahani and Dr. Bharti Chourasia, This paper presents a designmethodologyusingCMOStransistorfor the architecture of full adder design with minimum number of transistor i.e. reduced size and reduced area for low power consumption in CMOS 90nm technology [29]. This is used to implement low power full adder design for carrying out summation of three different input bits. The circuit of low power full adder is designed by using DSCH tool 2.7 & analysis of the low power full adder design is done at room temperature in CMOS 90 nm technology byusingMicrowind tool 2.6.The result shows the comparison in CMOS technology at 90 nm rule on the design in regards of power dissipation, signal propagation delay, transistor counts and power delay product. A comparison is also carried out by some of the parameters taking into consideration like delay of the low power full adder circuit design with the base paper full adder design, which shows the advantage of the proposed low power full adder design. We are using two different simulation tools such as DSCH 2.7 for circuitdesign and Micro-wind 2.6 for waveform simulation. Firstlythelow power full adder circuit will be designed using DSCHtool 2.7 using 10 transistor maintaining W/L ratio 3:1. Then the Verilog code of the design is made and the layout will be made in CMOS 90 nm technology using micro-wind tool 2.6. The low power full adder circuit using 10T design will be simulated at room temperature in CMOS 90 nm technology to compare different parameters like power consumption, delay, PDP & transistor counts.[29] Zia Abbas and MauroOlivieri this paper presented a detailed study of leakage current mechanisms in CMOS image sensors. They investigated reverse current–voltage characteristics of sensors at 0.045 µm CMOS technology. They conclude that in p-n junction of MOS transistor, tunneling and ionization impact are the dominant mechanisms for leakage current. Similarly thermal Shockley–Read–Hall generation is the main leakage source for n-well/p-well of transistor. Md Dilnawaz Hansala and Dr. Neetesh Raghuwanshi, discussed Multipliers play a vital job in the present digital signal handling and different applications[34]. With advances in innovation, numerousscientistshaveattempted and are endeavoring to structure multipliers which offer both of the following plan targets – high speed, low power utilization, consistency of design and henceforthlesszoneor even mix of them in one multiplier in this way making them appropriate for different highspeed,lowpowerandminimal VLSI usage.[34] III. Problem Formulation For designing any digital circuits, the main performance parameters are power, delay and area. Circuit which consume low power, less delay and occupies less area is considered as best circuit. Portability imposes a strict limitation on power dissipation with the demands of high computational speeds. Therefore, in present VLSI digital systems the power-delay product becomes the most important metric ofperformance[3].Thedefinitionsofthese parameters are: An Average Power dissipated by the device is defined as the energy dissipated per unit time and the energy needed for a given process is the integral of the power dissipated over the operation time. The instantaneous power is drawn from the power supply is proportional to the supply current and the supply voltage. In digital electronics, the PropagationDelayisthelength of time which starts when the input to a logic gate becomes stable and valid, to the time that the output of that logic gate is stable and valid. Generally it is consider for the time needed for the output to achieve from 10% to 90% of its final output level whentheinput state changes [11]. Reducing propagation delays in digital circuits allows them to process data at a faster rate and improve overall performance. The Area of digital circuit can be measure by counting the total number of transistor used. After designing layout of circuit, the product of length and width of the circuit is considered as total area occupied bythecircuit. Another important parameter to check theperformance of digital circuit is its Power-Delay Product which is
  • 3. International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470 @ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1360 considered as a figure of merit that correlate the energy efficiency of a digital logic gate. It is the product of average power consumption andtheinput-outputdelay. It has the dimension of energy, andmeasuresthe energy consumed per switching event [1]. Other important parameter is Leakage current,Leakage current (ILeak) is exponential increases, due to reduction in VTH, L and TOX of the transistor. ILeak consist of mainly threecurrentslikeISUB,IGATE,IBTBT. Prime objective is to reduce this leakage in idle mode of the portable electronic devices. No switching activity is performed in this mode. The Leakage power in digital circuits, one common problem of low swing output occurs in all logic techniques exceptstandardComplementarymetal oxide semiconductor technique. Due to low threshold voltage of transistors, drop occurs at the output voltage i.e., output does not reach to the valid high/low voltage level. The technology is continuously and rapidly evolving the production of smaller systems with minimized power dissipation; the IC industry is facing major challenges due to constraints on power density (W/cm2) and high static (standby) and dynamic (operating) power dissipation [13-16]. The key to overcome these challenges lies in improvements in design, material and manufacturing processes. IV. CONCLUSION: From the literature survey, it is found that the three main sources of power dissipation in Complementary metal oxide semiconductor are: Static, Dynamic and Short Circuit power dissipation [13-14]. Static power dissipation occurs when the transistors are at steady state, either in ON state or in OFF state, whereas dynamic and short circuit power dissipation occurs during switching from one state to other state. Hence dynamic and short circuitpowerdissipation are jointly called switching power dissipation.The reasons for static or leakage power dissipation are leakage currents, reverse biased currents and substrate injection currents, which flow through the transistors in its steady states. Switching power dissipation arises due to the charging and discharging of output load capacitance during switching.As discussed earlier in this paper that the Sleepy keeper approach has an advantage of having less delay but it needs additional control circuitisneeded.Althoughstack approach is easy to implement and having less leakagebutmoredelay. Therefore, in order to minimize the delay and leakage simultaneously, hybrids approach of basic gate designing which takes the advantage of both Sleepy keeper and Sleepy Stack. This new hybrid leakage reduction technique combines sleepy technique with sleepy stack transistor. References [1] J. S. Denker “A review of adiabatic computing,” IEEE Symposium on Low Power Electronics, San Diego,pp. 94–97, 1994. [2] S. Hemantha, A. Dhawan and K. Haranath, “Multi- threshold CMOS design forlowpowerdigital circuits,” IEEE Region 10 Conference on TENCON, pp. 1-5, 2008. [3] Y. Moon and D. K. Jeong, “An efficient charge recovery logic circuit,” IEE E Journal of Solid State Circuits, Vol. 31, pp. 514-522, 1996. [4] A. Kramer, J. S. Denker et al., “2nd order adiabatic computing with 2N-2N and 2N-2N2P logic circuits,” Proc. Intern. Symp. Low Power Design, pp. 191-196, 1995. [5] Vetuli, S. Di Pascoli and L. M. Reyneri, “Positive feedback in adiabatic logic,” Electronics Letters, Vol. 32, No. 20, pp. 1867, Sep. 1996. [6] Blotti, S. D. Pascoli and R. Saletti, “Simple model for positive feedback adiabatic logic power consumption estimation,” Electronics Letters. Vol. 36, No. 2, pp. 116-118 Jan, 2000. [7] K. Roy and Y. Ye, ” ow Power Circuit Design using Adiabatic Switching Principle”, ECE Technical Reports, Purdue University, Indiana, pp. 1189-1192, 1996. [8] A. Chaudhary, M. Saha, M. Bhowmiket. al., "Implementation of Circuit In Different Adiabatic Logic, "IEEE Sponsored 2nd International Conference On Electronics And Communication System, ICECS, 2015. [9] M. Sanadhya and M. V. Kumar, "Recent Development in Efficient Adiabatic Logic Circuits and Power Analysis with CMOS Logic," 3rd International Conference on Recent Trends in Computing, Vol. 57, pp. 1299-1307, 2015. [10] W. C. Athas, J. G. Koller and L. J. Svensson, "An Energy- Efficient CMOSLineDriverUsingAdiabaticSwitching," Information Sciences Institute, July 1993. [11] D. Shinghal, A. Saxena and A. Noor, “Adiabatic Logic Circuits: A retrospective,”MITInternational Journal of Electronics and Communication Engineering, Vol. 3, No. 2, pp. 108–114, August 2018. [12] S. P. Kushawaha and T. N. Sasamal, “Modified Positive Feedback Adiabatic Logic for Ultra Low Power VLSI,” IEEE International Conference on Computer, Communication and Control, 2018. [13] M. L. Keote and P. T. karule, "Design and Implementation of Energy Efficient Adiabatic ECRL and Basic Gates, " International Conference on Soft Computing Techniques and Implementations- (ICSCTI) Faridabad, India, Oct 8-10, 2015. [14] P. Bhati and N. Z. Rizvi, "Adiabatic Logic: An Alternative Approach To Low Power Application Circuits," International Conference on Electrical, Electronics, and Optimization Techniques, 2019. [15] A. Abba and K. Amarender, "Improved Power Gating Technique for Leakage Power Reduction, " International Journal OfEngineeringAndScience,Vol. 4, pp. 06-10, Issue 10, October 2019. [16] V. K. Madasu and B. Kedharnath, "Leakage Power Reduction by Using Sleep Methods," International Journal Of Engineering And Computer Science, Vol. 2, pp. 2842-2847, Issue 9, September 2013. [17] S. Konwar, T. B. Singha, S. Roy and R. H. Vanlalchaka, "Adiabatic Logic Based Low Power Multiplexer and Demultiplexer," 2014 International Conference on Computer Communication and Informatics, Coimbatore, India, Jan. 03–05, 2014.
  • 4. International Journal of Trend in Scientific Research and Development (IJTSRD) @ www.ijtsrd.com eISSN: 2456-6470 @ IJTSRD | Unique Paper ID – IJTSRD43615 | Volume – 5 | Issue – 4 | May-June 2021 Page 1361 [18] S. Goyal, G. Singh and P. Sharma, "Variation of Power Dissipation for Adiabatic CMOS and Conventional CMOS Digital Circuits," IEEE Sponsored 2nd International Conference on Electronics And Communication System, 2015. [19] P. Sheokand, G. Bhargave, S. Pandey and J. Kaur, "A New Energy Efficient Two Phase Adiabatic Logic for Low Power VLSI Applications," International Conference on Signal Processing, Computing and Control, 2015. [20] M. Morrison, "Theory, Synthesis, and Application of Adiabatic and Reversible Logic Circuits for Security Applications," IEEE Computer Society Annual Symposium on VLSI, 2014. [21] S. Heo and K. Asanovic, “Leakage-Biased Dynamic Fine-Grain Leakage Reduction,” Proceedings of the IEEE International Symposium on VLSI Circuits, pp. 316-319, June 2002. [22] Denker J S. “A review of adiabatic computing,” In: IEEE Symposium on Low Power Electronics, San Diego, 1994. 94–97 [23] Calhoun B H, Khanna S, Mann R, et al. Sub-threshold circuit design with shrinking CMOS devices. In: IEEE International Symposium on Circuits and Systems, Taipei, 2009. 2541–2544 [24] Hemantha S, Dhawan A, Haranath K. “Multi-threshold CMOS design for low power digital circuits,” In: 2008 IEEE Region 10 Conference on TENCON, Hyderabad, 2008. 1–5 [25] Prasad D. Khandekar, ShailaSubbaraman, and Abhijit V. Chitre, “ Implementation and Analysis of Quasi- Adiabatic Inverters”, Proceedings oftheInternational Multi Conference of Engineers and Computer Scientists 2010, Vol. II, IMECS 2010, March 17 - 19, 2010, Hong Kong [26] Moon Y, Jeong D K. An efficient charge recovery logic circuit. IEEE J Solid-State Circuits, 1996, 31: 514–522 [27] Sheetal Sahani and Dr. Bharti Chourasia, “Design and Analysis of Low Power Consumption I0T Full Adder Circuit”, IJSRET Volume 6 Issue 5, Sep-Oct-2020 [28] Aruna Rani, Poonam Kadam, “Adiabatic Split Level Charge Recovery Logic Circuit”, International Journal of Computer Applications, Vol. 65– No. 25, March 2013. [29] Bhakti Patel, Poonam Kadam, “Comparative Analysis of Adiabatic Logic Techniques” International Journal of Computer Applications (0975 – 8887). [30] Kramer A, Denker J. S., “Second order adiabatic computation with 2N-2P and 2N-2N2P logic circuits”, Low Power Design, 1995, pp. 191 – 196 [31] Kramer, A., Denker, J. S., Flower, B., &Moroney, J. (1995). “2nd order adiabatic computationwith2N-2P and 2N- 2N2P logic circuits,” Proceedings of the 1995 international symposium on Low power design. 191- 196. Doi:10. 1145/224081. 224115. [32] Md Dilnawaz Hansala, Dr. Neetesh Raghuwanshi, “A Design and Performance Analysis of 64-bit Multiplier based on RoundingBasedApproximationTechnique”, IJSRET Volume 6 Issue 5, Sep-Oct-2020 [33] Priti Shrivastava, Dr. Bharti Chourasia, “Implementation of Power Area Efficient 32-Bit Approximate Multiplier with Improved Accuracy”, IJSRET Volume 6 Issue 5, Sep-Oct-2020