SlideShare a Scribd company logo
1 of 5
Download to read offline
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 206-210, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 206
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
B.AnishFathima
Assistant Professor, Department of Electronics and Communication Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, India.
Article Received: 10 March 2017 Article Accepted: 19 March 2017 Article Published: 20 March 2017
1. INTRODUCTION
1.1 Need for Low Power
The advantage of utilizing a combination of low-power
components in conjunction with low-power design techniques
is more valuable now than ever before. Now a day’s power is
the primary concern due to the remarkable growth and success
in the field of personal computing devices and wireless
communication system which demand high speed
computation and complex functionality with low power
consumption.
The motivations for reducing power consumption differ
application to application. In the class of micro-powered
battery operated portable applications such as cell phones, the
goal is to keep the battery lifetime and weight reasonable and
packaging cost low. For high performance portable computers
such as laptop the goal is to reduce the power dissipation of
the electronics portion of the system to a point which is about
half of the total power dissipation. Finally For the high
performance non battery operated system such as
workstations the overall goal of power minimization is to
reduce the system cost while ensuring long term device
reliability.
In the past, the major concerns of the VLSI designer were
area, performance, cost and reliability; power consideration
was mostly of only secondary importance. In recent years,
however, this has begun to change and, increasingly, power is
being given comparable weight to area and speed
considerations. Several factors have contributed to this trend.
Perhaps the primary driving factor has been the remarkable
success and growth of the class of personal computing devices
and wireless communications systems which demand
high-speed computation and complex functionality with low
power consumption. In these applications, average power
consumption is a critical design concern. From the
environmental viewpoint, the smaller the power dissipation of
electronic systems, the lower the heat pumped into the rooms,
the lower the electricity consumed and hence the lower the
impact on global environment.
1.2 Need for Energy efficient Adders
Adders are the most important components used in most of
the Digital signal processors for real time applications.
Adders are also used in the architecture of other arithmetic
operators like Subtractors, Multipliers, shifters, MACs, etc.
Many Adder architectures have been proposed by various
researchers over the years. Even today the researches on
Adder architectures are finding a major interest for achieving
energy efficient devices. The survey on conventional adder
architectures [3, 5-9] have proved there is a lot more scope for
optimization. One method of optimization is by using
different logic styles for different parts of the design instead
of using the same logic style throughout the design. This
method of designing with different logic styles in various
parts of the same circuit is called Hybrid circuit. Various
hybrid architectures were surveyed for reference [1, 2 and 4].
This paper presents a Hybrid full adder circuit consisting of
two different logic styles namely CMOS logic and Pass
transistor logic. This method has lesser power consumption,
and hence energy efficient. The remaining of this paper is
organized as follows. Section II presents the overview of
proposed hybrid full adder circuit, the two different logic
styles and the reason behind using them in this circuit. Section
III presents the working principle of the proposed design with
an example and the analysis of obtained results using Tanner
Tool of 180nm Technology. Section IV draws the most
relevant conclusions of this work.
2. PROPOSED HYBRID FULL ADDER CIRCUIT
2.1 Overview of existing systems
Full adders, being one of the most fundamental building
blocks of all the aforementioned circuit applications, remain a
key focus domain of the researchers over the years. Different
logic styles, each having its own merits and bottlenecks, was
investigated to implement1-bit full adder cells. The designs,
ABSTRACT
Addition is a fundamental arithmetic operation that is broadly used in many VLSI systems, such as application-specific digital signal processing
(DSP) architectures and microprocessors. This addition module is also the core of other arithmetic operations such as subtraction, multiplication,
division and address generation. The prime objective of this project is to design a full-adder having low-power consumption and low propagation
delay which may result in the efficient implementation of modern digital systems. This model is referred as “hybrid” because of the combination of
two different design logic styles namely CMOS logic and pass transistor logic. Performance parameters such as power, delay and hence energy were
compared with the existing designs such as complementary CMOS logic full adder. In the existing hybrid systems, over 28 transistors were used.
While the optimized hybrid full adder circuit reduces this count to 8 transistors, it still obtains better energy efficiency. Further the proper working of
proposed full adder is verified by applying it in a Ripple carry Adder circuit.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 206-210, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 207
reported so far, may be broadly classified into two categories:
1) static style 2) dynamic style. In the existing system,
full-adder has to obtain an intermediate signal and its
complement, which are then used to drive other blocks to
generate the final outputs. The overall propagation delay and
the power consumption of the full-adder depend on the delay
and its complement generated. So, to increase the operational
speed of the full-adder, it is necessary to develop a new logic
structure that does not require the generation of intermediate
signals to control the selection or transmission of other signals
located on the critical path.
In most hybrid techniques, XOR, and XNOR functions were
simultaneously generated by pass transistor logic module by
using only six transistors. CMOS module produces full swing
outputs of the full adder but at the cost of increased transistor
count and decreased speed. Although the hybrid logic styles
offers promising performance, most of these hybrid logic
adders suffered from poor driving capability issue and their
performance degrades drastically in the cascaded mode of
operation if the suitably designed buffers are not included.
2.2 Overview of the proposed system
In this proposed system Pass Transistor Logic and CMOS
logic are used hence hybrid adder. In the proposed work,
consideration is given to the sizing of the MOS transistors
during the initial design step. A low transistor count full adder
cell using the new XOR cell is also presented. A PTL based
3-transitors XOR and XNOR circuits presented in had full
output voltage swing and better driving capability. To
compare the performance of new circuit and test their driving
capability, an adder circuit is built with the proposed XOR
and XNOR circuits.
An XOR/XNOR function with low circuit complexity can be
achieved with only 3 transistors in PTL. It uses only six
transistors to produce both an XOR and the complementary
XNOR function. The circuit has full voltage-swing and
negligible static power dissipation. The entire model is
divided into 3 modules, each module performing a specified
task. Here the propagation delay and power consumption is
reduced.
2.3 Hybrid technique of the proposed system
2.3.1 Pass transistor logic
The Pass-Transistor Logic (PTL) is a better way to implement
circuits designed for low power applications. The low power
pass transistor logic and its design and analysis procedures
were reported. The advantage of PTL is that only one PTL
network (either NMOS or PMOS) is sufficient to perform the
logic operation, which results in smaller number of transistors
and smaller input loads, especially when NMOS network is
used. Moreover, VDD-to GND paths, which may lead to
short-circuit energy dissipation, are eliminated. As the
designs with fewer transistor count and lower power
consumption are pursued it becomes more and more difficult
and even obsolete to keep full voltage swing operation. In
pass transistor logic, the output voltage swing may be
degraded due to the threshold loss problem. That is, the output
high (or low) voltage is deviated from the VDD (or ground)
by a multiple of threshold voltage. The reduction in voltage
swing, on one hand, is beneficial to power consumption. On
the other hand, this may lead to slow switching in the case of
cascaded operation such as ripple carry adder. At low
operation, the degraded output may even cause circuit
malfunction. A formal design procedure for realizing a
minimal transistor CMOS pass network XOR cell is
presented.
The advantages of pass transistor based realization are ratio
less, lower area due to smaller number of transistors and
lesser power dissipation because of no static power and short
circuit power dissipation. Some of the disadvantages of pass
transistor logic are higher delay and multi threshold voltage
drop. Pass transistor logic family is used to overcome the
limitations of pass transistor circuits. Some of the techniques
are:
Insertion of buffers to avoid long delay of a chain of
pass transistor logic.
Use of swing restoration circuit to overcome
multi-threshold voltage drop (vout = vdd-vtn).
Use of dual rail logic to generate complementary
control signals.
Most of full adder designs are based on three module
implementation i.e. XOR, sum, carry modules. The
4-Transistor XOR (XNOR) module is used to design pass
transistor logic based full adder. But this type of design raises
severe threshold voltage loss problems. The signal
degradation in the output causes signal degradation in the
further modules. Finally the signal degradation in the circuit
causes a multi-threshold loss problem at the output stage.
These multi-threshold loss problems can be reduced by using
complementary outputs. The complementary outputs are
obtained by using 5-Transistor XOR-XNOR design.
2.3.2 The CMOS logic
In all static CMOS circuits, a rising output transition is caused
by one of the pMOS branches, where a falling output
transition is always caused by one of the nMOS branches. The
delay associated with a certain transition is dictated by the
strength (or weakness) of the corresponding branch. Thus,
with the help of some simulations, the designer can identify
which branches are responsible for particular outputs. This
will enable the designer to modify the dimensions of only the
relevant transistors. The pMOS transistors, due to the lower
mobility of holes, have a gain factor that is 2 to 3 times lower
than that of an nMOS transistor. For the same current driving
capacity the pMOS transistor should have channel width that
is roughly 2 to 3 times wider than an nMOS transistor. Larger
transistors have larger current driving capability, making
them faster. The problem is that larger transistors also have
higher input capacitances and higher drain parasitics which
slows down overall operation speed. Transistors within the
same branch are usually drawn side-by-side on the same
active area. Thus, they should have the same size to simplify
the layout. For some common blocks there are many different
alternatives with varying advantages and disadvantages. As
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 206-210, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 208
an example, XOR and MUX type circuits are hard to realize
in standard CMOS logic whereas in Pass transistor logic their
realization is quite simple. A very interesting design style for
efficient layouts is the branch based logic style. The branch
based logic style is a special case of the standard static CMOS
designs where both the nMOS and pMOS block of the circuit
are designed using parallel branches of series-connected
transistors between the VDD (or GND) and the output node.
2.3.3 Hybrid Designs Using XOR and XNOR
All hybrid designs use the best available modules
implemented using different logic styles or enhance the
available modules in an attempt to build a low power
full-adder cell. Generally, the main aim is to reduce the
number of transistors in the adder cell and consequently to
reduce the number of power dissipating nodes. This is
achieved by utilizing intrinsically low power consuming logic
styles like TGA, TFA or simply pass-transistors. There are
three main components to design a hybrid full adder circuit.
These are XOR or XNOR, Carry generator and Sum
generator. Hybrid full adder as shown in Figure has been
designed with pass logic circuit cogenerates the intermediate
XOR - XNOR and hence improves outputs. The carry
generator module of hybrid full adder is a complementary
CMOS logic style based MUX. In this circuit, worst case
delay problems of transitions from 01 to 00 and from 10 to 11
are solved by adding two series p-MOS and two series n-MOS
transistors respectively. Although this modification improves
the speed of XOR/XNOR circuit, these additional transistors
increase the power consumption of the full adder cell.
To produce sum, hybrid uses another XOR circuit which is
implemented with pass transistors. The output inverter
restores the output voltage level and improves the driving
capability for cascading. It uses 26 transistors having a full
swing logic, balanced output and good output drivability at
low voltage. Another hybrid designed full adder is a
combination of low power transmission-gates and pseudo
n-MOS gates as depicted in Figure. XOR gate consists of a
pMOS transistor and an nMOS transistor that are connected in
parallel, which is a particular type of pass-transistor logic
circuit. There is no voltage drop at output node but it requires
twice the number of transistors to design similar function.
A novel 8-transistor XOR–XNOR based Full adder circuit
that generates XOR and XNOR outputs simultaneously. This
circuit provides a full voltage swing (i.e., 0V for logic 0 and
1.8V for logic 1) at low supply voltage. The reported
XOR–XNOR Full adder circuit is based on complementary
pass-transistor logic using only one static inverter instead of
two static inverters as in the regular CPL style XOR circuit.
The first half of the circuit utilizes only NMOS pass
transistors for the generation of the XOR and XNOR outputs.
The cross-coupled PMOS transistors are connected between
XOR and XNOR output to alleviate threshold problem for all
possible input combinations and reduce short-circuit power
dissipation. The circuit is inherently fast due to the high
mobility NMOS transistors and the fast differential stage of
cross-coupled PMOS transistors. It indicates the functioning
of the XOR/XNOR circuit.
3. WORKING PRINCIPLE OF FULL ADDER
CIRCUIT
The proposed full adder circuit is represented by three
blocks Module 1 and module 2 are the XNOR modules that
generate the sum signal (SUM) and module 3 generates the
output carry signal (Cout).
3.1 Carry generation module
In the proposed circuit, the output carry signal is
implemented by the transistors Mp7, Mp8, Mn7, and Mn8.
The input carry signal (Cin) propagates only through a
single transmission gate (Mn7 and Mp7), reducing the
overall carry propagation path significantly.
3.2 Operation of the proposed full adder
The sum output of the full adder is implemented by XNOR
modules. The inverter comprised of transistors Mp1 and Mn1
generate B’, which is effectively used to design the controlled
inverter using the transistor pair Mp2 and Mn2. Output of this
controlled inverter is basically the XNOR of A and B. But it
has some voltage degradation problem, which has been
removed using two pass C transistors Mp3 and Mn3.
Analyzing the truth table of a full adder, the condition for
Cout generation has been deducted as follows:
If, A = B, then Cout = B; else, Cout = Cin.
The parity between inputs A and B is checked by A _ B
function. If they are same, then Cout is same as B, which is
implemented using the transmission gate realized by
transistors Mp8 and Mn8. Otherwise, the input carry signal
(Cin) is reflected as Cout which is implemented by another
transmission gate consisting of transistors Mp7 and Mn7.We
use thin and thick gates for CMOS and transistor gates
respectively to reduce the power consumption and
propagation delay.
Fig.1. Working of the proposed full adder
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 206-210, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 209
Fig.2. Schematic of proposed full adder
3.3 Working of the optimized full adder circuit
The working principle of the optimized full adder is presented
below. The working is divided into 3 modules and each of
these modules performs its intended operation. This
optimized circuit is a hybrid full adder which combines PTL
logic and CMOS logic.
3.4 Carry and sum generation modules
In the module1, XOR logic is used. 2 inputs are given to the
circuit A and B. These two inputs are XORed and the output is
considered to be S1. To consider an example say A=0, B=1
then A XOR B= 1.
Fig.3. Schematic of the optimized Full adder circuit
In the module 2 XOR logic is used .The S1 from the first
module and Cin is given as the input and here the operation is
S1 XOR Cin the output is the SUM for the given set of the
problem. If Cin =1, then S1 XOR Cin =0 (SUM).
Fig.4. Working of the optimized full adder
In the module 3 MUX logic is used thus we have a 2*1 mux
logic that is two inputs and one output, a selection line is also
used the inputs are SI and Cin the input A is the selection line
which is denoted by SL. The output of this MUX operation is
the carry. Thus the carry is 1 which is the Cout. The condition
for this carry generation is: “If A=0, Cout=Cin, If A=1,
Cout=S1”
3.5 Results and Analysis
Table 1. Comparative Analysis of Full Adders
The table 1 shows the comparison among the conventional
CMOS full adder, the hybrid full adder and the optimized full
adder we have calculated number of transistors used in all the
three from which we can infer that the proposed optimized full
adder uses only 8 transistors while the hybrid full adder
system uses 16 and the conventional uses 28. We have
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 206-210, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 210
calculated the power consumed and propagation delay from
which we have calculated the power delay product which is
nothing but the energy and hence from the above details we
can conclude that the energy is reduced up to 23% in the
optimized full adder.
3.6 Application of proposed Hybrid full adder
The proper working of the proposed hybrid full adder design
has been verified by applying the circuit in a Ripple Carry
Adder (RCA). Ripple Carry Adders were the conventional 4
bit adders used for many arithmetic operations. They are
known for their simple architecture. The drawback associated
with RCA is the propagation delay associated with the
propagation of carry signal in between full adder blocks.
Fig.5. Schematic of the Ripple Carry Adder
This Figure shows the schematic view obtained from S-Edit
of RCA from Tanner Tool. The different full adders namely
conventional proposed hybrid full adders are called in
correspondingly for different methods. Similar results were
achieved for RCA circuit analysis also. The results are
displayed in the following table.
Table 2: Comparative Analysis of Full Adders
The table 2 shows the comparison among the conventional
CMOS RCA, the hybrid full adder_RCA and the optimized
full adder_RCA. There is a clear reduction in the number of
transistors. We have calculated the power consumed and
propagation delay from which energy has been analysed,
resulted in an energy reduction by 27.2% compared to
conventional circuit.
4. CONCLUSION
In this work, a hybrid full adder circuit and a ripple carry
adder circuit using the proposed hybrid full adder circuit are
designed and analyzed using TANNER SOFTWARE. This
full adder is compared with the conventional CMOS based
full adder. Hybrid Full adder is designed with two different
logic designs namely CMOS logic and Transmission gate
logic. Further optimization of the proposed full adder is also
done for better results by replacing the transmission gates
with the pass transistor logic. These systems are analyzed for
power consumption, propagation delay and hence energy
(power delay product), using T-SPICE. From these
computations, it is concluded that the optimized full adder is
energy efficient when compared with the hybrid full adder
circuit. The energy is reduced up to 23%.
REFERENCES
[1] Partha Bhattacharyya, Bijoy Kundu, Sovan Ghosh, Vinay
Kumar and Anup Dandapat, “Performance Analysis of Low
Power High Speed Hybrid 1-Bit Full Adder”, IEEE
Trans. VLSI, vol. 23, no. 10, pp. 2001-2008, September 2015.
[2] M. L. Aranda, R. Baez, and O. G. Diaz, “Hybrid adders
for high-speed arithmetic circuits: A comparison,” in Proc.
7th IEEE Int. Conf. Elect. Eng. Comput. Sci. Autom. Control
(CCE), Tuxtla Gutierrez, NM, USA, Sep. 2010, pp. 546–549.
[3] C. H. Chang, J. M. Gu, and M. Zhang, “A review of
0.18-μm full adder performances for tree structured
arithmetic circuits,” IEEE Trans. Very Large Scale Integr.
(VLSI) Syst., vol. 13, no. 6, pp. 686–695, Jun. 2005.
[4] S. Goel, A. Kumar, and M. A. Bayoumi, “Design of
robust, energy efficient full adders for deep-sub micrometer
design using hybrid-CMOS logic style,” IEEE Trans. Very
Large Scale Integr. (VLSI) Syst., vol. 14, no. 12, pp.
1309–1321, Dec. 2006.
[5] D. Radhakrishnan, “Low-voltage low-power CMOS full
adder,” IEE Proc.-Circuits Devices Syst., vol. 148, no. 1, pp.
19–24, Feb. 2001.
[6] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital
Integrated Circuits: A Design Perspective, 2nd ed. Delhi,
India: Pearson Education, 2003.
[7] A. M. Shams, T. K. Darwish, and M. A. Bayoumi,
“Performance analysis of low-power 1-bit CMOS full adder
cells,” IEEE Trans. Very Large Scale Integration. (VLSI)
Syst., vol. 10, no. 1, pp. 20–29, Feb. 2002.
[8] C.-K. Tung, Y.-C. Hung, S.-H. Shieh, and G.-S. Huang,
“A low-power high-speed hybrid CMOS full adder for
embedded system,” in Proc. IEEE Conf. Design Diagnostics
Electron. Circuits Syst., vol. 13. Apr. 2007, pp.1–4.
[9] M. Vesterbacka, “A 14-transistor CMOS full adder with
full voltage swing nodes,” in Proc. IEEE Workshop Signal
Process. Syst. (SiPS), Taipei, Taiwan, Oct. 1999, pp.
713–722.

More Related Content

What's hot

Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
IRJET- Design and Development of Interleaved Boost Converter using Fuzzy ...
IRJET-  	  Design and Development of Interleaved Boost Converter using Fuzzy ...IRJET-  	  Design and Development of Interleaved Boost Converter using Fuzzy ...
IRJET- Design and Development of Interleaved Boost Converter using Fuzzy ...IRJET Journal
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyIJARIIT
 
Low Power Full Adder using 9T Structure
Low Power Full Adder using 9T StructureLow Power Full Adder using 9T Structure
Low Power Full Adder using 9T Structureidescitation
 
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technologyijsrd.com
 
Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control IJECEIAES
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)Piero Belforte
 
Building impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerBuilding impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerJournal Papers
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select addereSAT Publishing House
 
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...IRJET- Blended Learning Method for Medium Power Transmission Line Performance...
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...IRJET Journal
 
Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adderIOSRJECE
 
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Associate Professor in VSB Coimbatore
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs IJEEE
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyAssociate Professor in VSB Coimbatore
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology IJEEE
 

What's hot (19)

Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
IRJET- Design and Development of Interleaved Boost Converter using Fuzzy ...
IRJET-  	  Design and Development of Interleaved Boost Converter using Fuzzy ...IRJET-  	  Design and Development of Interleaved Boost Converter using Fuzzy ...
IRJET- Design and Development of Interleaved Boost Converter using Fuzzy ...
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technology
 
Low Power Full Adder using 9T Structure
Low Power Full Adder using 9T StructureLow Power Full Adder using 9T Structure
Low Power Full Adder using 9T Structure
 
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technology
 
Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
 
Building impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerBuilding impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturer
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...IRJET- Blended Learning Method for Medium Power Transmission Line Performance...
IRJET- Blended Learning Method for Medium Power Transmission Line Performance...
 
1743 1748
1743 17481743 1748
1743 1748
 
Performance evaluation of full adder
Performance evaluation of full adderPerformance evaluation of full adder
Performance evaluation of full adder
 
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
ha_report modified
ha_report  modifiedha_report  modified
ha_report modified
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology
 

Viewers also liked

Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicAssociate Professor in VSB Coimbatore
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksAssociate Professor in VSB Coimbatore
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorAssociate Professor in VSB Coimbatore
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentAssociate Professor in VSB Coimbatore
 
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Associate Professor in VSB Coimbatore
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Associate Professor in VSB Coimbatore
 
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaAssociate Professor in VSB Coimbatore
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAssociate Professor in VSB Coimbatore
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Associate Professor in VSB Coimbatore
 
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Associate Professor in VSB Coimbatore
 
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Associate Professor in VSB Coimbatore
 

Viewers also liked (20)

Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino Logic
 
Pisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring SystemPisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring System
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
Clean India Android APP
Clean India Android APPClean India Android APP
Clean India Android APP
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
 
Industrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor NetworksIndustrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor Networks
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
 
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
 
Smart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow MeterSmart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow Meter
 
Industrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice AnnouncementIndustrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice Announcement
 
A Virtual Reality Based Driving System
A Virtual Reality Based Driving SystemA Virtual Reality Based Driving System
A Virtual Reality Based Driving System
 
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...
 
Modeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE ModelModeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE Model
 
Safety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement SystemSafety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement System
 
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
 
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
 

Similar to Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit

NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSVLSICS Design
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...VLSICS Design
 
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyAssociate Professor in VSB Coimbatore
 
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...IJECEIAES
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...IRJET Journal
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptIJARIIT
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...IJARIIT
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersKumar Goud
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsIRJET Journal
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET Journal
 
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuik
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuiksynopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuik
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuikPrakruthiKP2
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...VIT-AP University
 

Similar to Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit (20)

NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITSNEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
NEW DESIGN METHODOLOGIES FOR HIGH-SPEED MIXED-MODE CMOS FULL ADDER CIRCUITS
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
 
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
 
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
Performance Analysis of a Low-Power High-Speed Hybrid 1-Bit Full Adder Circui...
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using pt
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select Adders
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI Applications
 
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
IRJET- Energy Efficient One Bit Subtractor Circuits for Computing Application...
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuik
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuiksynopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuik
synopsis ppt.pptxkugiuhjgvyutvdy zsxtyuikm nbgyuik
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 

More from Associate Professor in VSB Coimbatore

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Associate Professor in VSB Coimbatore
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Associate Professor in VSB Coimbatore
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Associate Professor in VSB Coimbatore
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionAssociate Professor in VSB Coimbatore
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Associate Professor in VSB Coimbatore
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Associate Professor in VSB Coimbatore
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...Associate Professor in VSB Coimbatore
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...Associate Professor in VSB Coimbatore
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Associate Professor in VSB Coimbatore
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Associate Professor in VSB Coimbatore
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Associate Professor in VSB Coimbatore
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Associate Professor in VSB Coimbatore
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Associate Professor in VSB Coimbatore
 

More from Associate Professor in VSB Coimbatore (20)

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee Retention
 
Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation  Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
 
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, NigeriaEcological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
 
Mass & Quark Symmetry
Mass & Quark SymmetryMass & Quark Symmetry
Mass & Quark Symmetry
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
 
Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries
 

Recently uploaded

Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 

Recently uploaded (20)

Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 

Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit

  • 1. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 206-210, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 206 Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit B.AnishFathima Assistant Professor, Department of Electronics and Communication Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, India. Article Received: 10 March 2017 Article Accepted: 19 March 2017 Article Published: 20 March 2017 1. INTRODUCTION 1.1 Need for Low Power The advantage of utilizing a combination of low-power components in conjunction with low-power design techniques is more valuable now than ever before. Now a day’s power is the primary concern due to the remarkable growth and success in the field of personal computing devices and wireless communication system which demand high speed computation and complex functionality with low power consumption. The motivations for reducing power consumption differ application to application. In the class of micro-powered battery operated portable applications such as cell phones, the goal is to keep the battery lifetime and weight reasonable and packaging cost low. For high performance portable computers such as laptop the goal is to reduce the power dissipation of the electronics portion of the system to a point which is about half of the total power dissipation. Finally For the high performance non battery operated system such as workstations the overall goal of power minimization is to reduce the system cost while ensuring long term device reliability. In the past, the major concerns of the VLSI designer were area, performance, cost and reliability; power consideration was mostly of only secondary importance. In recent years, however, this has begun to change and, increasingly, power is being given comparable weight to area and speed considerations. Several factors have contributed to this trend. Perhaps the primary driving factor has been the remarkable success and growth of the class of personal computing devices and wireless communications systems which demand high-speed computation and complex functionality with low power consumption. In these applications, average power consumption is a critical design concern. From the environmental viewpoint, the smaller the power dissipation of electronic systems, the lower the heat pumped into the rooms, the lower the electricity consumed and hence the lower the impact on global environment. 1.2 Need for Energy efficient Adders Adders are the most important components used in most of the Digital signal processors for real time applications. Adders are also used in the architecture of other arithmetic operators like Subtractors, Multipliers, shifters, MACs, etc. Many Adder architectures have been proposed by various researchers over the years. Even today the researches on Adder architectures are finding a major interest for achieving energy efficient devices. The survey on conventional adder architectures [3, 5-9] have proved there is a lot more scope for optimization. One method of optimization is by using different logic styles for different parts of the design instead of using the same logic style throughout the design. This method of designing with different logic styles in various parts of the same circuit is called Hybrid circuit. Various hybrid architectures were surveyed for reference [1, 2 and 4]. This paper presents a Hybrid full adder circuit consisting of two different logic styles namely CMOS logic and Pass transistor logic. This method has lesser power consumption, and hence energy efficient. The remaining of this paper is organized as follows. Section II presents the overview of proposed hybrid full adder circuit, the two different logic styles and the reason behind using them in this circuit. Section III presents the working principle of the proposed design with an example and the analysis of obtained results using Tanner Tool of 180nm Technology. Section IV draws the most relevant conclusions of this work. 2. PROPOSED HYBRID FULL ADDER CIRCUIT 2.1 Overview of existing systems Full adders, being one of the most fundamental building blocks of all the aforementioned circuit applications, remain a key focus domain of the researchers over the years. Different logic styles, each having its own merits and bottlenecks, was investigated to implement1-bit full adder cells. The designs, ABSTRACT Addition is a fundamental arithmetic operation that is broadly used in many VLSI systems, such as application-specific digital signal processing (DSP) architectures and microprocessors. This addition module is also the core of other arithmetic operations such as subtraction, multiplication, division and address generation. The prime objective of this project is to design a full-adder having low-power consumption and low propagation delay which may result in the efficient implementation of modern digital systems. This model is referred as “hybrid” because of the combination of two different design logic styles namely CMOS logic and pass transistor logic. Performance parameters such as power, delay and hence energy were compared with the existing designs such as complementary CMOS logic full adder. In the existing hybrid systems, over 28 transistors were used. While the optimized hybrid full adder circuit reduces this count to 8 transistors, it still obtains better energy efficiency. Further the proper working of proposed full adder is verified by applying it in a Ripple carry Adder circuit.
  • 2. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 206-210, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 207 reported so far, may be broadly classified into two categories: 1) static style 2) dynamic style. In the existing system, full-adder has to obtain an intermediate signal and its complement, which are then used to drive other blocks to generate the final outputs. The overall propagation delay and the power consumption of the full-adder depend on the delay and its complement generated. So, to increase the operational speed of the full-adder, it is necessary to develop a new logic structure that does not require the generation of intermediate signals to control the selection or transmission of other signals located on the critical path. In most hybrid techniques, XOR, and XNOR functions were simultaneously generated by pass transistor logic module by using only six transistors. CMOS module produces full swing outputs of the full adder but at the cost of increased transistor count and decreased speed. Although the hybrid logic styles offers promising performance, most of these hybrid logic adders suffered from poor driving capability issue and their performance degrades drastically in the cascaded mode of operation if the suitably designed buffers are not included. 2.2 Overview of the proposed system In this proposed system Pass Transistor Logic and CMOS logic are used hence hybrid adder. In the proposed work, consideration is given to the sizing of the MOS transistors during the initial design step. A low transistor count full adder cell using the new XOR cell is also presented. A PTL based 3-transitors XOR and XNOR circuits presented in had full output voltage swing and better driving capability. To compare the performance of new circuit and test their driving capability, an adder circuit is built with the proposed XOR and XNOR circuits. An XOR/XNOR function with low circuit complexity can be achieved with only 3 transistors in PTL. It uses only six transistors to produce both an XOR and the complementary XNOR function. The circuit has full voltage-swing and negligible static power dissipation. The entire model is divided into 3 modules, each module performing a specified task. Here the propagation delay and power consumption is reduced. 2.3 Hybrid technique of the proposed system 2.3.1 Pass transistor logic The Pass-Transistor Logic (PTL) is a better way to implement circuits designed for low power applications. The low power pass transistor logic and its design and analysis procedures were reported. The advantage of PTL is that only one PTL network (either NMOS or PMOS) is sufficient to perform the logic operation, which results in smaller number of transistors and smaller input loads, especially when NMOS network is used. Moreover, VDD-to GND paths, which may lead to short-circuit energy dissipation, are eliminated. As the designs with fewer transistor count and lower power consumption are pursued it becomes more and more difficult and even obsolete to keep full voltage swing operation. In pass transistor logic, the output voltage swing may be degraded due to the threshold loss problem. That is, the output high (or low) voltage is deviated from the VDD (or ground) by a multiple of threshold voltage. The reduction in voltage swing, on one hand, is beneficial to power consumption. On the other hand, this may lead to slow switching in the case of cascaded operation such as ripple carry adder. At low operation, the degraded output may even cause circuit malfunction. A formal design procedure for realizing a minimal transistor CMOS pass network XOR cell is presented. The advantages of pass transistor based realization are ratio less, lower area due to smaller number of transistors and lesser power dissipation because of no static power and short circuit power dissipation. Some of the disadvantages of pass transistor logic are higher delay and multi threshold voltage drop. Pass transistor logic family is used to overcome the limitations of pass transistor circuits. Some of the techniques are: Insertion of buffers to avoid long delay of a chain of pass transistor logic. Use of swing restoration circuit to overcome multi-threshold voltage drop (vout = vdd-vtn). Use of dual rail logic to generate complementary control signals. Most of full adder designs are based on three module implementation i.e. XOR, sum, carry modules. The 4-Transistor XOR (XNOR) module is used to design pass transistor logic based full adder. But this type of design raises severe threshold voltage loss problems. The signal degradation in the output causes signal degradation in the further modules. Finally the signal degradation in the circuit causes a multi-threshold loss problem at the output stage. These multi-threshold loss problems can be reduced by using complementary outputs. The complementary outputs are obtained by using 5-Transistor XOR-XNOR design. 2.3.2 The CMOS logic In all static CMOS circuits, a rising output transition is caused by one of the pMOS branches, where a falling output transition is always caused by one of the nMOS branches. The delay associated with a certain transition is dictated by the strength (or weakness) of the corresponding branch. Thus, with the help of some simulations, the designer can identify which branches are responsible for particular outputs. This will enable the designer to modify the dimensions of only the relevant transistors. The pMOS transistors, due to the lower mobility of holes, have a gain factor that is 2 to 3 times lower than that of an nMOS transistor. For the same current driving capacity the pMOS transistor should have channel width that is roughly 2 to 3 times wider than an nMOS transistor. Larger transistors have larger current driving capability, making them faster. The problem is that larger transistors also have higher input capacitances and higher drain parasitics which slows down overall operation speed. Transistors within the same branch are usually drawn side-by-side on the same active area. Thus, they should have the same size to simplify the layout. For some common blocks there are many different alternatives with varying advantages and disadvantages. As
  • 3. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 206-210, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 208 an example, XOR and MUX type circuits are hard to realize in standard CMOS logic whereas in Pass transistor logic their realization is quite simple. A very interesting design style for efficient layouts is the branch based logic style. The branch based logic style is a special case of the standard static CMOS designs where both the nMOS and pMOS block of the circuit are designed using parallel branches of series-connected transistors between the VDD (or GND) and the output node. 2.3.3 Hybrid Designs Using XOR and XNOR All hybrid designs use the best available modules implemented using different logic styles or enhance the available modules in an attempt to build a low power full-adder cell. Generally, the main aim is to reduce the number of transistors in the adder cell and consequently to reduce the number of power dissipating nodes. This is achieved by utilizing intrinsically low power consuming logic styles like TGA, TFA or simply pass-transistors. There are three main components to design a hybrid full adder circuit. These are XOR or XNOR, Carry generator and Sum generator. Hybrid full adder as shown in Figure has been designed with pass logic circuit cogenerates the intermediate XOR - XNOR and hence improves outputs. The carry generator module of hybrid full adder is a complementary CMOS logic style based MUX. In this circuit, worst case delay problems of transitions from 01 to 00 and from 10 to 11 are solved by adding two series p-MOS and two series n-MOS transistors respectively. Although this modification improves the speed of XOR/XNOR circuit, these additional transistors increase the power consumption of the full adder cell. To produce sum, hybrid uses another XOR circuit which is implemented with pass transistors. The output inverter restores the output voltage level and improves the driving capability for cascading. It uses 26 transistors having a full swing logic, balanced output and good output drivability at low voltage. Another hybrid designed full adder is a combination of low power transmission-gates and pseudo n-MOS gates as depicted in Figure. XOR gate consists of a pMOS transistor and an nMOS transistor that are connected in parallel, which is a particular type of pass-transistor logic circuit. There is no voltage drop at output node but it requires twice the number of transistors to design similar function. A novel 8-transistor XOR–XNOR based Full adder circuit that generates XOR and XNOR outputs simultaneously. This circuit provides a full voltage swing (i.e., 0V for logic 0 and 1.8V for logic 1) at low supply voltage. The reported XOR–XNOR Full adder circuit is based on complementary pass-transistor logic using only one static inverter instead of two static inverters as in the regular CPL style XOR circuit. The first half of the circuit utilizes only NMOS pass transistors for the generation of the XOR and XNOR outputs. The cross-coupled PMOS transistors are connected between XOR and XNOR output to alleviate threshold problem for all possible input combinations and reduce short-circuit power dissipation. The circuit is inherently fast due to the high mobility NMOS transistors and the fast differential stage of cross-coupled PMOS transistors. It indicates the functioning of the XOR/XNOR circuit. 3. WORKING PRINCIPLE OF FULL ADDER CIRCUIT The proposed full adder circuit is represented by three blocks Module 1 and module 2 are the XNOR modules that generate the sum signal (SUM) and module 3 generates the output carry signal (Cout). 3.1 Carry generation module In the proposed circuit, the output carry signal is implemented by the transistors Mp7, Mp8, Mn7, and Mn8. The input carry signal (Cin) propagates only through a single transmission gate (Mn7 and Mp7), reducing the overall carry propagation path significantly. 3.2 Operation of the proposed full adder The sum output of the full adder is implemented by XNOR modules. The inverter comprised of transistors Mp1 and Mn1 generate B’, which is effectively used to design the controlled inverter using the transistor pair Mp2 and Mn2. Output of this controlled inverter is basically the XNOR of A and B. But it has some voltage degradation problem, which has been removed using two pass C transistors Mp3 and Mn3. Analyzing the truth table of a full adder, the condition for Cout generation has been deducted as follows: If, A = B, then Cout = B; else, Cout = Cin. The parity between inputs A and B is checked by A _ B function. If they are same, then Cout is same as B, which is implemented using the transmission gate realized by transistors Mp8 and Mn8. Otherwise, the input carry signal (Cin) is reflected as Cout which is implemented by another transmission gate consisting of transistors Mp7 and Mn7.We use thin and thick gates for CMOS and transistor gates respectively to reduce the power consumption and propagation delay. Fig.1. Working of the proposed full adder
  • 4. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 206-210, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 209 Fig.2. Schematic of proposed full adder 3.3 Working of the optimized full adder circuit The working principle of the optimized full adder is presented below. The working is divided into 3 modules and each of these modules performs its intended operation. This optimized circuit is a hybrid full adder which combines PTL logic and CMOS logic. 3.4 Carry and sum generation modules In the module1, XOR logic is used. 2 inputs are given to the circuit A and B. These two inputs are XORed and the output is considered to be S1. To consider an example say A=0, B=1 then A XOR B= 1. Fig.3. Schematic of the optimized Full adder circuit In the module 2 XOR logic is used .The S1 from the first module and Cin is given as the input and here the operation is S1 XOR Cin the output is the SUM for the given set of the problem. If Cin =1, then S1 XOR Cin =0 (SUM). Fig.4. Working of the optimized full adder In the module 3 MUX logic is used thus we have a 2*1 mux logic that is two inputs and one output, a selection line is also used the inputs are SI and Cin the input A is the selection line which is denoted by SL. The output of this MUX operation is the carry. Thus the carry is 1 which is the Cout. The condition for this carry generation is: “If A=0, Cout=Cin, If A=1, Cout=S1” 3.5 Results and Analysis Table 1. Comparative Analysis of Full Adders The table 1 shows the comparison among the conventional CMOS full adder, the hybrid full adder and the optimized full adder we have calculated number of transistors used in all the three from which we can infer that the proposed optimized full adder uses only 8 transistors while the hybrid full adder system uses 16 and the conventional uses 28. We have
  • 5. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 206-210, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 210 calculated the power consumed and propagation delay from which we have calculated the power delay product which is nothing but the energy and hence from the above details we can conclude that the energy is reduced up to 23% in the optimized full adder. 3.6 Application of proposed Hybrid full adder The proper working of the proposed hybrid full adder design has been verified by applying the circuit in a Ripple Carry Adder (RCA). Ripple Carry Adders were the conventional 4 bit adders used for many arithmetic operations. They are known for their simple architecture. The drawback associated with RCA is the propagation delay associated with the propagation of carry signal in between full adder blocks. Fig.5. Schematic of the Ripple Carry Adder This Figure shows the schematic view obtained from S-Edit of RCA from Tanner Tool. The different full adders namely conventional proposed hybrid full adders are called in correspondingly for different methods. Similar results were achieved for RCA circuit analysis also. The results are displayed in the following table. Table 2: Comparative Analysis of Full Adders The table 2 shows the comparison among the conventional CMOS RCA, the hybrid full adder_RCA and the optimized full adder_RCA. There is a clear reduction in the number of transistors. We have calculated the power consumed and propagation delay from which energy has been analysed, resulted in an energy reduction by 27.2% compared to conventional circuit. 4. CONCLUSION In this work, a hybrid full adder circuit and a ripple carry adder circuit using the proposed hybrid full adder circuit are designed and analyzed using TANNER SOFTWARE. This full adder is compared with the conventional CMOS based full adder. Hybrid Full adder is designed with two different logic designs namely CMOS logic and Transmission gate logic. Further optimization of the proposed full adder is also done for better results by replacing the transmission gates with the pass transistor logic. These systems are analyzed for power consumption, propagation delay and hence energy (power delay product), using T-SPICE. From these computations, it is concluded that the optimized full adder is energy efficient when compared with the hybrid full adder circuit. The energy is reduced up to 23%. REFERENCES [1] Partha Bhattacharyya, Bijoy Kundu, Sovan Ghosh, Vinay Kumar and Anup Dandapat, “Performance Analysis of Low Power High Speed Hybrid 1-Bit Full Adder”, IEEE Trans. VLSI, vol. 23, no. 10, pp. 2001-2008, September 2015. [2] M. L. Aranda, R. Baez, and O. G. Diaz, “Hybrid adders for high-speed arithmetic circuits: A comparison,” in Proc. 7th IEEE Int. Conf. Elect. Eng. Comput. Sci. Autom. Control (CCE), Tuxtla Gutierrez, NM, USA, Sep. 2010, pp. 546–549. [3] C. H. Chang, J. M. Gu, and M. Zhang, “A review of 0.18-μm full adder performances for tree structured arithmetic circuits,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 6, pp. 686–695, Jun. 2005. [4] S. Goel, A. Kumar, and M. A. Bayoumi, “Design of robust, energy efficient full adders for deep-sub micrometer design using hybrid-CMOS logic style,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 12, pp. 1309–1321, Dec. 2006. [5] D. Radhakrishnan, “Low-voltage low-power CMOS full adder,” IEE Proc.-Circuits Devices Syst., vol. 148, no. 1, pp. 19–24, Feb. 2001. [6] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective, 2nd ed. Delhi, India: Pearson Education, 2003. [7] A. M. Shams, T. K. Darwish, and M. A. Bayoumi, “Performance analysis of low-power 1-bit CMOS full adder cells,” IEEE Trans. Very Large Scale Integration. (VLSI) Syst., vol. 10, no. 1, pp. 20–29, Feb. 2002. [8] C.-K. Tung, Y.-C. Hung, S.-H. Shieh, and G.-S. Huang, “A low-power high-speed hybrid CMOS full adder for embedded system,” in Proc. IEEE Conf. Design Diagnostics Electron. Circuits Syst., vol. 13. Apr. 2007, pp.1–4. [9] M. Vesterbacka, “A 14-transistor CMOS full adder with full voltage swing nodes,” in Proc. IEEE Workshop Signal Process. Syst. (SiPS), Taipei, Taiwan, Oct. 1999, pp. 713–722.