SlideShare a Scribd company logo
1 of 9
Praktikum 4
Register Instruksi dan Accumulator
LUSIANA DIYAN NINGRUM
2210181051
Program Studi Teknik Komputer
Departemen Teknik Informatika dan Komputer
Politeknik Elektronika Negeri Surabaya
1. Tujuan:
a. Mahasiswa dapat menjelaskan dan membuat program Register Instruksi.
b. Mahasiswa dapat menjelaskan dan membuat program Accumulator.
2. Dasar Teori
A. RegisterInstruksi
Register instruksi atau Instruction Register yang disingkat IR merupakan bagian dari unit
kendali. Untuk mengambil sebuah instruksi dari memori, komputer melakukan operasi
membaca memori. Dalam operasi ini isi dari lokasi memori yang ditunjuk alamatnya
ditempatkan pada bus W. Pada waktu yang sama, register instruksi disiapkan untuk pengisian
pada tepi positif dari sinyal detak (clock) berikutnya.
Isi register instruksi dibagi menjadi dua nibble. Nibble bagian atas merupakan keluaran
dua keadaan yang langsung dikirimkan kepada blok “Pengendali Pengurut”. Nibble bagian
bawah adalah keluaran tiga keadaan yang dapat dibaca (ditempatkan) pada bus W bilamana
diperlukan.
B. Accumulator
Akumulator disingkat dengan A adalah sebuah register buffer yang menyimpan jawaban
sementara selama komputer beroperasi. Dalam Gambar 1 diperlihatkan bahwa akumulator
mempunyai dua macam keluaran. Keluaran dua keadaan secara langsung diteruskan ke bagian
Arithmetic Logic Unit. Keluaran tiga-keadaan dikirimkan kepada bus W. Karena itu kata 8-
bit dari akumulator secara terus menerus menggerakkan rangkaian Arithmetic Logic Unit; dan
kata yang sama juga muncul pada bus W bilamana EA tinggi.
3. Program
● Program Register
VHDL MODUL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity IR is
Port ( CLK : in STD_LOGIC;
CLR : in STD_LOGIC;
nLi : in STD_LOGIC;
nEi : in STD_LOGIC;
inIR : in STD_LOGIC_VECTOR (7 downto 0);
outIRlow : out STD_LOGIC_VECTOR (3 downto 0);
outIRhigh : out STD_LOGIC_VECTOR (3 downto 0));
end IR;
architecture Behavioral of IR is
signal regBuff : STD_LOGIC_VECTOR (7 downto 0);
begin
process (CLK,CLR)
begin
if rising_edge(CLK) then
if CLR = '1' then
regBuff <="00000000";
outIRlow <="ZZZZ";
end if;
elsif nLi='0' then
regBuff <= inIR;
outIRlow <= "ZZZZ";
end if;
end if;
end process;
outIRlow <= regBuff(3 downto 0) when nEi='0' else "ZZZZ";
outIRhigh <= regBuff(7 downto 4);
end Behavioral;
VHDL TEST BENCH
● Program Accumulator
VHDL MODUL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity regA is
Port ( CLK : in STD_LOGIC;
nLa : in STD_LOGIC;
Ea : in STD_LOGIC;
inA : in STD_LOGIC_VECTOR (7 downto 0);
outA : out STD_LOGIC_VECTOR (7 downto 0);
regACC : out STD_LOGIC_VECTOR (7 downto 0));
end regA;
architecture Behavioral of regA is
signal sigA : STD_LOGIC_VECTOR (7 downto 0);
begin
process (CLK)
begin
if rising_edge(CLK) then
if nLa='0' then
sigA <= inA;
end if;
end if;
end process;
outA <= sigA when Ea='1' else "ZZZZZZZZ";
regACC <= sigA;
end Behavioral;
VHDL TEST BENCH
4. Analisa
Pada praktikum ini kami membuat dua program percobaan yakni program
register dan program akumulator.
Register instruksi merupakan bagian dari unit kendali. Untuk mengambil
sebuah instruksi dari memori, komputer melakukan operasi membaca memori. Pada
program register, input berupa inRI yang kemudian di kontrol oleh nLI dan CLR. ketika
nilai outRlow dan signal rbuff sudah terisi (tidak sama dengan 0), dengan trigger clock
dan nLi. Nilai outRlow akan diperbarui yaitu dengan menggambil 4 bit awal pada signal
rbuff. Begitu juga outRhigh yang akan diperbarui dengan mengambil 4 bit terakhir dari
rbuf dengan trigger nEi.
Program Akumulator merupakan register yang dapat digunakan untuk
aritmatika, logis, pergeseran, memutar, atau lainnya operasi serupa. Pada program
akumulator nilau RegA dan outA kan diisi sesuai trigger nLa dan Na. output akan sesuai
dengan input jika nLa bernilai 0 dan Ea bernilai 0. Jika keduanya bernilai 1 maka nilai
out sama dengan ZZZZ. Nilai nLa sama dengan 0, maka output akan memunculkan
nilai output sebelumnya, karena tidak ada perubahan nilai pada sigA. Dalam Gambar 1
diperlihatkan bahwa akumulator mempunyai dua macam keluaran. Keluaran dua
keadaan secara langsung diteruskan ke bagian Arithmetic Logic Unit. Keluaran tiga-
keadaan dikirimkan kepada bus W. Karena itu kata 8-bit dari akumulator secara terus
menerus menggerakkan rangkaian Arithmetic Logic Unit; dan kata yang sama juga
muncul pada bus W bilamana E A tinggi.
5. Kesimpulan
Berdasarkan hasil percobaan dan analisa yang telah dilakukan, dapat ditarik
kesimpulan bahwa :
1. Register berfungsi untuk menampung data dengan ketentuan bahwa data yang
terdapat dalan register dapat diproses dalam berbagai operasi dengan melihat besar
kapasitas register tersebut. Register berisi 2 output yang nilainya dikontrol sebuah
triger dengan sebuah input tunggal 8 bit.
2. Accumulator merupakan register yang digunakan untuk menyimpan perhitungan
aritmatika dan hasil penolahan operasi logika. Akumulator akan menyimpan nilai dari
input yang dikontrol dengan nLa. Jika nilai nLa tidak memenuhi, maka output adalah
output sebelumnya.

More Related Content

What's hot

Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)personal
 
Kapasitans dan dielektrik dan contoh soal
Kapasitans dan dielektrik dan contoh soalKapasitans dan dielektrik dan contoh soal
Kapasitans dan dielektrik dan contoh soalAzhar Al
 
Laporan praktikum
Laporan praktikumLaporan praktikum
Laporan praktikumayu purwati
 
Kelompok 6(aplikasi transistor)
Kelompok 6(aplikasi transistor)Kelompok 6(aplikasi transistor)
Kelompok 6(aplikasi transistor)Marina Natsir
 
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskrit
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskritPengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskrit
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskritBeny Nugraha
 
Monitoring Protokol ICMP (ping) dengan Wireshark
Monitoring Protokol ICMP (ping) dengan WiresharkMonitoring Protokol ICMP (ping) dengan Wireshark
Monitoring Protokol ICMP (ping) dengan WiresharkHanif Yogatama
 
4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronikaSimon Patabang
 
Penyederhanaan Fungsi Boolean
Penyederhanaan Fungsi BooleanPenyederhanaan Fungsi Boolean
Penyederhanaan Fungsi BooleanFahrul Razi
 
Modul teknik-digital
Modul teknik-digitalModul teknik-digital
Modul teknik-digitalecko gmc
 
Gerbang logika
Gerbang logikaGerbang logika
Gerbang logikaptsumaye
 
Hand out sinyal & sistem
Hand out sinyal & sistemHand out sinyal & sistem
Hand out sinyal & sistemSetyo Wibowo'
 
Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip registerEko Supriyadi
 
pemodelan state space
pemodelan state spacepemodelan state space
pemodelan state spaceRumah Belajar
 
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR KEN KEN
 

What's hot (20)

Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)
 
Kapasitans dan dielektrik dan contoh soal
Kapasitans dan dielektrik dan contoh soalKapasitans dan dielektrik dan contoh soal
Kapasitans dan dielektrik dan contoh soal
 
Laporan praktikum
Laporan praktikumLaporan praktikum
Laporan praktikum
 
Kelompok 6(aplikasi transistor)
Kelompok 6(aplikasi transistor)Kelompok 6(aplikasi transistor)
Kelompok 6(aplikasi transistor)
 
4.hukum gauss
4.hukum gauss4.hukum gauss
4.hukum gauss
 
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskrit
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskritPengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskrit
Pengolahan Sinyal Digital - Slide week 2 - sistem & sinyal waktu diskrit
 
Monitoring Protokol ICMP (ping) dengan Wireshark
Monitoring Protokol ICMP (ping) dengan WiresharkMonitoring Protokol ICMP (ping) dengan Wireshark
Monitoring Protokol ICMP (ping) dengan Wireshark
 
1 sinyal
1  sinyal1  sinyal
1 sinyal
 
Bab 5 komputer sederhana sap-1
Bab 5   komputer sederhana sap-1Bab 5   komputer sederhana sap-1
Bab 5 komputer sederhana sap-1
 
4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika4 metoda analisis rangkaian elektronika
4 metoda analisis rangkaian elektronika
 
Penyederhanaan Fungsi Boolean
Penyederhanaan Fungsi BooleanPenyederhanaan Fungsi Boolean
Penyederhanaan Fungsi Boolean
 
Modul teknik-digital
Modul teknik-digitalModul teknik-digital
Modul teknik-digital
 
Gerbang logika
Gerbang logikaGerbang logika
Gerbang logika
 
Hand out sinyal & sistem
Hand out sinyal & sistemHand out sinyal & sistem
Hand out sinyal & sistem
 
Transistor
TransistorTransistor
Transistor
 
Rangkaian penyearah
Rangkaian penyearahRangkaian penyearah
Rangkaian penyearah
 
Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip register
 
pemodelan state space
pemodelan state spacepemodelan state space
pemodelan state space
 
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
 
Prosessor SAP 1
Prosessor SAP 1Prosessor SAP 1
Prosessor SAP 1
 

Similar to Register Instruksi dan Accumulator

Register B dan Adder
Register B dan AdderRegister B dan Adder
Register B dan AdderLusiana Diyan
 
Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Lusiana Diyan
 
Control unit dan register output
Control unit dan register outputControl unit dan register output
Control unit dan register outputLusiana Diyan
 
Alat penyortir botol minum berbasis plc
Alat penyortir botol minum berbasis plcAlat penyortir botol minum berbasis plc
Alat penyortir botol minum berbasis plcDeva Saputra
 
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptx
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptxKELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptx
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptxQoryhimesama
 
Siklus pelaksanaan (execution cycle)
Siklus pelaksanaan (execution cycle)Siklus pelaksanaan (execution cycle)
Siklus pelaksanaan (execution cycle)Lusiana Diyan
 
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Muhammad Kennedy Ginting
 
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...muhamadaulia3
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digitalRendy Wahyudi
 
Program Counter, Memory Address Register dan Random Access Memory
Program Counter, Memory Address Register dan Random Access MemoryProgram Counter, Memory Address Register dan Random Access Memory
Program Counter, Memory Address Register dan Random Access MemoryLusiana Diyan
 
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flowSkd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flowniel95
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)akbar010
 
Program counter, memory address register dan random access memory
Program counter, memory address register dan random access memoryProgram counter, memory address register dan random access memory
Program counter, memory address register dan random access memoryLusiana Diyan
 
simple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptsimple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptwidieyyaya
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)akbar010
 

Similar to Register Instruksi dan Accumulator (20)

Register B dan Adder
Register B dan AdderRegister B dan Adder
Register B dan Adder
 
Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)
 
Control unit dan register output
Control unit dan register outputControl unit dan register output
Control unit dan register output
 
Pemrograman SAP - 1
Pemrograman SAP - 1Pemrograman SAP - 1
Pemrograman SAP - 1
 
Pemrograman sap 1
Pemrograman sap 1Pemrograman sap 1
Pemrograman sap 1
 
Alat penyortir botol minum berbasis plc
Alat penyortir botol minum berbasis plcAlat penyortir botol minum berbasis plc
Alat penyortir botol minum berbasis plc
 
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptx
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptxKELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptx
KELOMPOK 5 PLC_PPT Konsep Internal Relay[1].pptx
 
Resume komputer sap
Resume komputer sapResume komputer sap
Resume komputer sap
 
Siklus pelaksanaan (execution cycle)
Siklus pelaksanaan (execution cycle)Siklus pelaksanaan (execution cycle)
Siklus pelaksanaan (execution cycle)
 
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
 
2. plc fix
2. plc fix2. plc fix
2. plc fix
 
Ladder diagram
Ladder diagramLadder diagram
Ladder diagram
 
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digital
 
Program Counter, Memory Address Register dan Random Access Memory
Program Counter, Memory Address Register dan Random Access MemoryProgram Counter, Memory Address Register dan Random Access Memory
Program Counter, Memory Address Register dan Random Access Memory
 
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flowSkd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)
 
Program counter, memory address register dan random access memory
Program counter, memory address register dan random access memoryProgram counter, memory address register dan random access memory
Program counter, memory address register dan random access memory
 
simple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptsimple-as-possible-computer-1.ppt
simple-as-possible-computer-1.ppt
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)
 

More from Lusiana Diyan

Komunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifKomunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifLusiana Diyan
 
Berkomunikasi dalam Tim
Berkomunikasi dalam TimBerkomunikasi dalam Tim
Berkomunikasi dalam TimLusiana Diyan
 
Berkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanBerkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanLusiana Diyan
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan BisnisLusiana Diyan
 
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisKemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisLusiana Diyan
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan BisnisLusiana Diyan
 
Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Lusiana Diyan
 
Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Lusiana Diyan
 
Vocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentVocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentLusiana Diyan
 
DESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTDESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTLusiana Diyan
 
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimTutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimLusiana Diyan
 
CRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLCRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLLusiana Diyan
 
Kontrol LED melalui Web Server
Kontrol LED melalui Web ServerKontrol LED melalui Web Server
Kontrol LED melalui Web ServerLusiana Diyan
 
Akses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiAkses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiLusiana Diyan
 
Building A Simple Robot in VREP
Building A Simple Robot in VREPBuilding A Simple Robot in VREP
Building A Simple Robot in VREPLusiana Diyan
 
Tutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleTutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleLusiana Diyan
 
Proses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakProses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakLusiana Diyan
 
Produk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakProduk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakLusiana Diyan
 
Open Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCOpen Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCLusiana Diyan
 

More from Lusiana Diyan (20)

Komunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifKomunikasi Bisnis yang Efektif
Komunikasi Bisnis yang Efektif
 
Berkomunikasi dalam Tim
Berkomunikasi dalam TimBerkomunikasi dalam Tim
Berkomunikasi dalam Tim
 
Berkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanBerkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan Keragaman
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan Bisnis
 
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisKemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan Bisnis
 
Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3
 
Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2
 
Vocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentVocabulary Engineering Enrichment
Vocabulary Engineering Enrichment
 
DESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTDESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENT
 
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimTutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
 
CRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLCRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQL
 
Kontrol LED melalui Web Server
Kontrol LED melalui Web ServerKontrol LED melalui Web Server
Kontrol LED melalui Web Server
 
Installasi NodeMCU
Installasi NodeMCUInstallasi NodeMCU
Installasi NodeMCU
 
Akses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiAkses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry Pi
 
Building A Simple Robot in VREP
Building A Simple Robot in VREPBuilding A Simple Robot in VREP
Building A Simple Robot in VREP
 
Tutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleTutorial Menggunakan Software Eagle
Tutorial Menggunakan Software Eagle
 
Proses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakProses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat Lunak
 
Produk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakProduk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat Lunak
 
Open Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCOpen Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DC
 

Recently uploaded

Slide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open StudioSlide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open Studiossuser52d6bf
 
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptxAnnisaNurHasanah27
 
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxPembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxmuhammadrizky331164
 
Strategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaStrategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaRenaYunita2
 
05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.pptSonyGobang1
 
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptxMuhararAhmad
 

Recently uploaded (6)

Slide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open StudioSlide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open Studio
 
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
 
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxPembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
 
Strategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaStrategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di Indonesia
 
05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt
 
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
 

Register Instruksi dan Accumulator

  • 1. Praktikum 4 Register Instruksi dan Accumulator LUSIANA DIYAN NINGRUM 2210181051 Program Studi Teknik Komputer Departemen Teknik Informatika dan Komputer Politeknik Elektronika Negeri Surabaya
  • 2. 1. Tujuan: a. Mahasiswa dapat menjelaskan dan membuat program Register Instruksi. b. Mahasiswa dapat menjelaskan dan membuat program Accumulator. 2. Dasar Teori A. RegisterInstruksi Register instruksi atau Instruction Register yang disingkat IR merupakan bagian dari unit kendali. Untuk mengambil sebuah instruksi dari memori, komputer melakukan operasi membaca memori. Dalam operasi ini isi dari lokasi memori yang ditunjuk alamatnya ditempatkan pada bus W. Pada waktu yang sama, register instruksi disiapkan untuk pengisian pada tepi positif dari sinyal detak (clock) berikutnya. Isi register instruksi dibagi menjadi dua nibble. Nibble bagian atas merupakan keluaran dua keadaan yang langsung dikirimkan kepada blok “Pengendali Pengurut”. Nibble bagian bawah adalah keluaran tiga keadaan yang dapat dibaca (ditempatkan) pada bus W bilamana diperlukan. B. Accumulator Akumulator disingkat dengan A adalah sebuah register buffer yang menyimpan jawaban sementara selama komputer beroperasi. Dalam Gambar 1 diperlihatkan bahwa akumulator mempunyai dua macam keluaran. Keluaran dua keadaan secara langsung diteruskan ke bagian Arithmetic Logic Unit. Keluaran tiga-keadaan dikirimkan kepada bus W. Karena itu kata 8- bit dari akumulator secara terus menerus menggerakkan rangkaian Arithmetic Logic Unit; dan kata yang sama juga muncul pada bus W bilamana EA tinggi. 3. Program ● Program Register VHDL MODUL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity IR is Port ( CLK : in STD_LOGIC; CLR : in STD_LOGIC; nLi : in STD_LOGIC; nEi : in STD_LOGIC; inIR : in STD_LOGIC_VECTOR (7 downto 0); outIRlow : out STD_LOGIC_VECTOR (3 downto 0); outIRhigh : out STD_LOGIC_VECTOR (3 downto 0));
  • 3. end IR; architecture Behavioral of IR is signal regBuff : STD_LOGIC_VECTOR (7 downto 0); begin process (CLK,CLR) begin if rising_edge(CLK) then if CLR = '1' then regBuff <="00000000"; outIRlow <="ZZZZ"; end if; elsif nLi='0' then regBuff <= inIR; outIRlow <= "ZZZZ"; end if; end if; end process; outIRlow <= regBuff(3 downto 0) when nEi='0' else "ZZZZ"; outIRhigh <= regBuff(7 downto 4); end Behavioral;
  • 5. ● Program Accumulator VHDL MODUL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity regA is Port ( CLK : in STD_LOGIC; nLa : in STD_LOGIC;
  • 6. Ea : in STD_LOGIC; inA : in STD_LOGIC_VECTOR (7 downto 0); outA : out STD_LOGIC_VECTOR (7 downto 0); regACC : out STD_LOGIC_VECTOR (7 downto 0)); end regA; architecture Behavioral of regA is signal sigA : STD_LOGIC_VECTOR (7 downto 0); begin process (CLK) begin if rising_edge(CLK) then if nLa='0' then sigA <= inA; end if; end if; end process; outA <= sigA when Ea='1' else "ZZZZZZZZ"; regACC <= sigA; end Behavioral;
  • 8. 4. Analisa Pada praktikum ini kami membuat dua program percobaan yakni program register dan program akumulator. Register instruksi merupakan bagian dari unit kendali. Untuk mengambil sebuah instruksi dari memori, komputer melakukan operasi membaca memori. Pada program register, input berupa inRI yang kemudian di kontrol oleh nLI dan CLR. ketika nilai outRlow dan signal rbuff sudah terisi (tidak sama dengan 0), dengan trigger clock dan nLi. Nilai outRlow akan diperbarui yaitu dengan menggambil 4 bit awal pada signal rbuff. Begitu juga outRhigh yang akan diperbarui dengan mengambil 4 bit terakhir dari rbuf dengan trigger nEi. Program Akumulator merupakan register yang dapat digunakan untuk aritmatika, logis, pergeseran, memutar, atau lainnya operasi serupa. Pada program akumulator nilau RegA dan outA kan diisi sesuai trigger nLa dan Na. output akan sesuai dengan input jika nLa bernilai 0 dan Ea bernilai 0. Jika keduanya bernilai 1 maka nilai out sama dengan ZZZZ. Nilai nLa sama dengan 0, maka output akan memunculkan nilai output sebelumnya, karena tidak ada perubahan nilai pada sigA. Dalam Gambar 1 diperlihatkan bahwa akumulator mempunyai dua macam keluaran. Keluaran dua keadaan secara langsung diteruskan ke bagian Arithmetic Logic Unit. Keluaran tiga-
  • 9. keadaan dikirimkan kepada bus W. Karena itu kata 8-bit dari akumulator secara terus menerus menggerakkan rangkaian Arithmetic Logic Unit; dan kata yang sama juga muncul pada bus W bilamana E A tinggi. 5. Kesimpulan Berdasarkan hasil percobaan dan analisa yang telah dilakukan, dapat ditarik kesimpulan bahwa : 1. Register berfungsi untuk menampung data dengan ketentuan bahwa data yang terdapat dalan register dapat diproses dalam berbagai operasi dengan melihat besar kapasitas register tersebut. Register berisi 2 output yang nilainya dikontrol sebuah triger dengan sebuah input tunggal 8 bit. 2. Accumulator merupakan register yang digunakan untuk menyimpan perhitungan aritmatika dan hasil penolahan operasi logika. Akumulator akan menyimpan nilai dari input yang dikontrol dengan nLa. Jika nilai nLa tidak memenuhi, maka output adalah output sebelumnya.